Part Number Hot Search : 
5933B DT1133 DS5250 H11C2 NJM25 6N120 PE9636 DS1821
Product Description
Full Text Search
 

To Download UPD65894GJ-XXX-UEN Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  cmos-n5 series cmos gate array ver. 7.0 document no. a13826ej7v0dm00 (7th edition) date published march 2004 n cp(k) printed in japan c design manual
2 design manual a13826ej7v0dm [memo]
3 design manual a13826ej7v0dm opencad and fpbga are trademarks of nec electronics corporation. modelsim is a registered trademark of mentor graphics corporation. design compiler is a registered trademark of synopsys, inc. in japan. primetime, tetramax, and formality are registered trademarks of synopsys, inc. in the united states. v erilog, nc-verilog, verilog-xl, and silicon ensemble are trademarks of cadence design systems, inc. sun and solaris are trademarks of sun microsystems, inc. vcs is a trademark of synopsys, inc. hp and hp-ux are trademarks of hewlett-packard company. motif is a trademark of open software foundation, inc. tu x edo and conformal are trademarks of verplex systems, inc. 1 2 3 4 vo lta ge application waveform at input pin wa ve fo rm distortion due to input noise or a reflected wave may cause malfunction. if the input of the cmos device stays in the area between v il (max) and v ih (min) due to noise, etc., the device may malfunction. take care to prevent chattering noise from entering the device when the input level is fixed, and also in the transition period when the input level passes through the area between v il (max) and v ih (min). handling of unused input pins unconnected cmos device inputs can be cause of malfunction. if an input pin is unconnected, it is possible that an internal input level may be generated due to noise, etc., causing malfunction. cmos devices behave differently than bipolar or nmos devices. input levels of cmos devices must be fixed high or low by using pull-up or pull-down circuitry. each unused pin should be connected to v dd or gnd via a resistor if there is a possibility that it will be an output pin. all handling related to unused pins must be judged separately for each device and according to related specifications governing the device. precaution against esd a strong electric field, when exposed to a mos device, can cause destruction of the gate oxide and ultimately degrade the device operation. steps must be taken to stop generation of static electricity as m uch as possible, and quickly dissipate it when it has occurred. environmental control must be adequate. when it is dry, a humidifier should be used. it is recommended to avoid using insulators that easily build up static electricity. semiconductor devices must be stored and transported in an anti-static container, static shielding bag or conductive material. all test and measurement tools including work benches and floors should be grounded. the operator should be grounded using a wrist strap. semiconductor devices must not be touched with bare hands. similar precautions need to be taken for pw boards with mounted semiconductor devices. status before initialization po w er-on does not necessarily define the initial status of a mos device. immediately after the power source is turned on, devices with reset functions have not yet been initialized. hence, power-on does not guarantee output pin levels, i/o settings or contents of registers. a device is not initialized until the reset signal is received. a reset operation must be executed immediately after power-on for devices with reset functions. notes for cmos devices
4 design manual a13826ej7v0dm the information in this document is current as of february, 2004. the information is subject to change without notice. for actual design-in, refer to the latest publications of nec electronics data sheets or data books, etc., for the most up-to-date specifications of nec electronics products. not all products and/or types are available in every country. please check with an nec electronics sales representative for availability and additional information. no part of this document may be copied or reproduced in any form or by any means without the prior written consent of nec electronics. nec electronics assumes no responsibility for any errors that may appear in this document. nec electronics does not assume any liability for infringement of patents, copyrights or other intellectual property rights of third parties by or arising from the use of nec electronics products listed in this document or any other liability arising from the use of such products. no license, express, implied or otherwise, is granted under any patents, copyrights or other intellectual property rights of nec electronics or others. descriptions of circuits, software and other related information in this document are provided for illustrative purposes in semiconductor product operation and application examples. the incorporation of these circuits, software and information in the design of a customer's equipment shall be done under the full responsibility of the customer. nec electronics assumes no responsibility for any losses incurred by customers or third parties arising from the use of these circuits, software and information. while nec electronics endeavors to enhance the quality, reliability and safety of nec electronics products, customers agree and acknowledge that the possibility of defects thereof cannot be eliminated entirely. to minimize risks of damage to property or injury (including death) to persons arising from defects in nec electronics products, customers must incorporate sufficient safety measures in their design, such as redundancy, fire-containment and anti-failure features. nec electronics products are classified into the following three quality grades: "standard", "special" and "specific". the "specific" quality grade applies only to nec electronics products developed based on a customer- designated "quality assurance program" for a specific application. the recommended applications of an nec electronics product depend on its quality grade, as indicated below. customers must check the quality grade of each nec electronics product before using it in a particular application. the quality grade of nec electronics products is "standard" unless otherwise expressly specified in nec electronics data sheets or data books, etc. if customers wish to use nec electronics products in applications not intended by nec electronics, they must contact an nec electronics sales representative in advance to determine nec electronics' willingness to support a given application. (note) ? ? ? ? ? ? m8e 02. 11-1 (1) (2) "nec electronics" as used in this statement means nec electronics corporation and also includes its majority-owned subsidiaries. "nec electronics products" means any product developed or manufactured by or for nec electronics (as defined above). computers, office equipment, communications equipment, test and measurement equipment, audio and visual equipment, home electronic appliances, machine tools, personal electronic equipment and industrial robots. transportation equipment (automobiles, trains, ships, etc.), traffic control systems, anti-disaster systems, anti-crime systems, safety equipment and medical equipment (not specifically designed for life support). aircraft, aerospace equipment, submersible repeaters, nuclear reactor control systems, life support systems and medical equipment for life support, etc. " standard": " special": " specific": these commodities, technology or software, must be exported in accordance with the export administration regulations of the exporting country. diversion contrary to the law of that country is prohibited.
5 design manual a13826ej7v0dm regional information ? device availability ? ordering information ? product release schedule ? availability of related technical literature ? development environment specifications (for example, specifications for third-party tools and components, host computers, power plugs, ac supply voltages, and so forth) ? network requirements in addition, trademarks, registered trademarks, export restrictions, and other legal issues may also vary from country to country. [global support] http://www.necel.com/en/support/support.html nec electronics america, inc. (u.s.) santa clara, california tel: 408-588-6000 800-366-9782 nec electronics hong kong ltd. hong kong tel: 2886-9318 nec electronics hong kong ltd. seoul branch seoul, korea tel: 02-558-3737 nec electronics shanghai ltd. shanghai, p.r. china tel: 021-5888-5400 nec electronics taiwan ltd. taipei, taiwan tel: 02-2719-2377 nec electronics singapore pte. ltd. novena square, singapore tel: 6253-8311 j04.1 n ec electronics (europe) gmbh duesseldorf, germany tel: 0211-65030 ? sucursal en espa?a madrid, spain tel: 091-504 27 87 v?lizy-villacoublay, france tel: 01-30-67 58 00 ? succursale fran?aise ? filiale italiana milano, italy tel: 02-66 75 41 ? branch the netherlands eindhoven, the netherlands tel: 040-244 58 45 ? tyskland filial taeby, sweden tel: 08-63 80 820 ? united kingdom branch milton keynes, uk tel: 01908-691-133 some information contained in this document may vary from country to country. before using any nec electronics product in your application, piease contact the nec electronics office in your country to obtain a list of authorized representatives and distributors. they will verify:
6 design manual a13826ej7v0dm major revisions in this edition p age description throughout addition of masters ( pd65891, 65892, 65894) ? r ansfer of figures and tables in data lists from appendix k data to main text and deletion of appendix k data p .21 modification of ta b le 1-1 products p .26 modification of 2.1.1 cell utilization rate, usable cell and pin-pair count limits p .28 modification of ta b le 2-2 usable gates and pin-pair count p .46 addition of (3) mountability based on power supply voltage in 2.1.4 notes on mounting large- scale macros (memory) 6th edition pp.48, 49 deletion of 2.2 package selection p .50 modification of flow 1: development procedure and interfacing in 2.5 development flow p .53 modification of table in 2.6 opencad configuration tools pp.55, 56 modification of table in 2.7 list of interface data pp.57 to 97 modification of 2.8 asic product development information p .101 modification of ta b le 3-5 recommended operating range (v dd = 5.0 v 10%, t a = ?0 to +85 c) p .107 modification of ta ble 3-11 recommended operating range (v dd = 3.3 0.3 v, t a = ?0 to +85 c) pp.112, 114 modification of 4.1.1 estimating static current consumption p .121 modification of figure 4-12 oscillator configuration diagram p .126 modification of 4.3.4 compensation method pp.132 to 135 modification of 4.4.4 fluctuation in propagation delay time p .138 modification of ta b le 4-9 recommended load capacitance ranges of output buffers (@3.3 v) p .139 modification of (a) i ol = 3.0 ma (@3.3 v) in figure 4-15 f max. vs. c l limit (cmos level output) p .141 modification of (a) i ol = 3.0 ma (@3.3 v) in figure 4-16 f max. vs. c l limit (cmos level low-noise output) pp.147, 148 modification of description in figure 4-20 i o vs. v o (@3.3 v) p .153 modification of description in 4.6.4 simultaneous operation pins to be checked p .154 modification of calculation example in 4.6.6 three-gnd-pin determination pp.173, 174 addition of caution in 5.4.3 clock tree synthesis p .206 modification of description in 6.2.3 number of test patterns p .215 modification of description in 6.5 test pattern for on-chip ram p .229 addition of 7.3.3 using oscillator (resonator) and cts together pp.230, 231 addition of descriptions in 7.3.4 notes on configuring an oscillator p .231 addition of figure 7-6 example of overtone circuits pp.233, 234 modification of ta b le 7-3 list of resonator evaluations p .244 modification of 7.6.3 checking connection of ram test circuit p .252 modification of description in c.2 (4) example pp.261 to 287 modification of appendix e list of blocks 6th edition pp.261 to 270 deletion of appendix f pin descriptions 6th edition pp.271 to 274 deletion of appendix g pins assignable to oscillator 6th edition pp.275 to 285 deletion of appendix h package drawings 6th edition pp.286 to 288 deletion of appendix i package markings 6th edition pp.289 to 290 deletion of appendix j recommended soldering conditions the mark shows major revised points.
7 design manual a13826ej7v0dm introduction this manual explains the restrictions and points to be noted when designing lsis using nec electronics cmos- n5 series of high-speed, high-density cmos gate arrays. in order to ensure smooth design of an lsi, read this manual carefully. be sure to follow the specifications described in this manual (including general information, cautions, and restrictions). f ailure to do so may result in poor quality, poor performance, or operational faults in lsi products. the following abbreviations are used for the package names in this manual. regarding the order of masters each of the information is described in gate size order, not in master order. ta rg et readers this manual is intended for user engineers who wish to design an lsi using the cmos- n5 series. purpose this manual explains general information, limitations, and points to be noted when designing an lsi using the cmos-n5 series. how to read this manual it is assumed that the reader of this manual has general knowledge in the fields of electrical engineering, logic circuits, and microcomputers. to understand the overall functions of the cmos-n5 series: read this manual in the order of the contents. see cmos gate array, embedded array package design manual (a16400e) f or the following items. list of packages maximum allowable power consumption thermal resistance assignment of v dd , gnd, nc, scan test pins pins that can be used for oscillators pa c kage drawings pa c kage markings mounting rank (recommended soldering conditions) conventions note :f ootnote for item marked with note in the text caution : information requiring particular attention remark : supplementary information abbreviation qfp pbga tbga fpbga tm standard package name plastic qfp plastic bga t ape bga fine pitch plastic bga
8 design manual a13826ej7v0dm to obtain the latest documents when designing, contact an nec electronics sales office or distributor. related documents the related documents indicated in this publication may include preliminary versions. however, preliminary versions are not marked as such. ? mos-n5 series design manual (this manual) cmos-n5 series (5.0 v) block library (a13872e) cmos-n5 series (3.3 v) block library (a15895e) cmos-n5 series memory block library (a14683e) cmos-n5 series mega macro design manual (a14759e) cmos gate array, embedded array package design manual (a16400e) nec system lsi design opencad tm opc_vshell users manual (a16306e) ? ec system lsi design design for test testact, nec_scan2 users manual (a16437e) nec system lsi design design for test nec_bist, nec_testbus, nec_scan/scan2, nec_bscan/bscan2 users manual (a15168e) semiconductor selection guide -products and packages- (x13769x)
9 design manual a13826ej7v0dm contents chapter 1 overview ............................................................................................................ ..............19 1.1 features .................................................................................................................... ....................19 1.2 cmos-n5 series products ..................................................................................................... ..... 21 1.3 internal structure of cmos-n5 series ....................................................................................... 2 2 1.4 internal cell structure ..................................................................................................... ............23 1.5 qfp package ................................................................................................................. ............... 24 chapter 2 implementing the system using the gate array ............................................. 25 2.1 estimating circuit scale .................................................................................................... .......... 26 2.1.1 cell utilization rate, usable cell and pin-pair count limits .............................................................. ..... 26 2.1.2 notes on estimating number of cells used .................................................................................. ...... 29 2.1.3 large-scale macro mounting ................................................................................................ ............ 29 2.1.4 notes on mounting large-scale macros (memory) ............................................................................ 4 6 2.2 verifying power consumption ................................................................................................. ... 46 2.3 pin placement ............................................................................................................... ...............47 2.3.1 notes on pin layout ....................................................................................................... .................... 47 2.4 i/o interface ............................................................................................................... ...................48 2.4.1 input blocks .............................................................................................................. ......................... 48 2.4.2 output blocks ............................................................................................................. ....................... 49 2.5 development flow ............................................................................................................ ...........50 2.6 opencad configuration tools ................................................................................................. .53 2.7 list of interface data ...................................................................................................... .............55 2.8 asic product development information .................................................................................... 57 2.8.1 asic product development information (checksheet) ....................................................................... 58 2.8.2 ram block ................................................................................................................. ........................ 74 2.8.3 rom ....................................................................................................................... ........................... 78 2.8.4 high-speed function test .................................................................................................. ................. 80 2.8.5 gtl, pecl, hstl, pci, lvds block .......................................................................................... ...... 82 2.8.6 dpll block ................................................................................................................ ........................ 84 2.8.7 megamacro ................................................................................................................. ...................... 88 2.8.8 alternate use as scan path and boundary scan .............................................................................. .90 2.8.9 scan path ................................................................................................................. ......................... 92 2.8.10 boundary scan ............................................................................................................ ...................... 96 chapter 3 product specifications ............................................................................................ 98 3.1 terminology ................................................................................................................. .................98 3.2 absolute maximum ratings .................................................................................................... .. 100 3.3 standard specification of cmos interface conditions (v dd = 5.0 v 10%, t a = ?0 to +85 c) ......................................................................................................................101 3.3.1 recommended operating range ............................................................................................... ...... 101 3.3.2 dc characteristics ........................................................................................................ ................... 102 3.3.3 ac characteristics ........................................................................................................ ................... 103
10 design manual a13826ej7v0dm 3.4 specification 1 (v dd = 3.0 0.3 v, t a = ?0 to +85 c) ............................................................... 104 3.4.1 recommended operating range ............................................................................................... ...... 104 3.4.2 dc characteristics ........................................................................................................ ................... 105 3.4.3 ac characteristics ........................................................................................................ ................... 106 3.5 specification 2 (v dd = 3.3 0.3 v, t a = ?0 to +85 c) ............................................................... 107 3.5.1 recommended operating range ............................................................................................... ...... 107 3.5.2 dc characteristics ........................................................................................................ ................... 108 3.5.3 ac characteristics ........................................................................................................ ................... 109 3.6 pin capacitance ............................................................................................................. ............ 109 chapter 4 estimating electrical characteristics .......................................................... 111 4.1 estimating static current consumption .................................................................................. 111 4.1.1 estimating static current consumption ..................................................................................... ....... 111 4.2 input through current ....................................................................................................... ........ 114 4.3 power consumption ........................................................................................................... ....... 117 4.3.1 causes of power consumption ............................................................................................... ......... 117 4.3.2 estimating power consumption .............................................................................................. ......... 118 4.3.3 unit power consumption of memory .......................................................................................... ..... 124 4.3.4 compensation method ....................................................................................................... ............. 126 4.3.5 determining power consumption ............................................................................................. ....... 127 4.4 propagation delay time ...................................................................................................... ...... 128 4.4.1 accuracy of propagation delay time ........................................................................................ ........ 128 4.4.2 calculation in propagation delay time ..................................................................................... ........ 129 4.4.3 estimating wiring capacitance ............................................................................................. ........... 131 4.4.4 fluctuation in propagation delay time ..................................................................................... ........ 132 4.5 output buffer characteristics ............................................................................................... .... 136 4.5.1 output buffer rise and fall times ......................................................................................... ............. 136 4.5.2 recommended load capacitance range of output buffers .............................................................. 138 4.5.3 maximum operating frequency of output buffers ............................................................................. 139 4.5.4 output buffer output current (i ol , i oh ) .............................................................................................. 143 4.6 restrictions to simultaneous operation of output buffers .................................................. 149 4.6.1 malfunction due to simultaneous operation of outputs .................................................................... 14 9 4.6.2 definitions ............................................................................................................... ........................ 151 4.6.3 factors for the determination of simultaneous operation ................................................................ 151 4.6.4 simultaneous operation pins to be checked ................................................................................. .. 152 4.6.5 pin placement and simultaneous operation .................................................................................. .. 153 4.6.6 three-gnd-pin determination ............................................................................................... ......... 154 4.6.7 assumptions for the determination method .................................................................................. .. 157 4.6.8 other determination methods ............................................................................................... .......... 158 chapter 5 circuit design guidelines ...................................................................................... 159 5.1 basic circuit configuration ................................................................................................. ..... 159 5.1.1 using i/o buffers ......................................................................................................... .................... 159 5.1.2 unused pins ............................................................................................................... ..................... 160 5.1.3 fan-out limitations ....................................................................................................... .................... 160 5.1.4 wired logic circuit prohibitions .......................................................................................... .............. 160
11 design manual a13826ej7v0dm 5.1.5 notes on using bidirectional buffers ...................................................................................... .......... 161 5.2 differential circuit prohibition ............................................................................................ ...... 162 5.3 rs latch and loop circuits .................................................................................................. .... 163 5.3.1 rs latch .................................................................................................................. ........................ 163 5.3.2 loop circuit .............................................................................................................. ....................... 164 5.3.3 prohibited state of flip-flops ............................................................................................ ................ 165 5.4 clocked signal design ....................................................................................................... ....... 166 5.4.1 synchronous circuit design ................................................................................................ ............. 166 5.4.2 clock skew ................................................................................................................ ...................... 171 5.4.3 clock tree synthesis ...................................................................................................... .................. 173 5.5 notes on configuring high-speed circuits ............................................................................. 178 5.6 delay time margin ........................................................................................................... ...........179 5.6.1 timing definitions ........................................................................................................ .................... 180 5.6.2 delay time margin calculation (asynchronous circuits) ................................................................... 18 1 5.6.3 delay time margin calculation (high-speed circuits) ....................................................................... . 182 5.6.4 minimum pulse width ....................................................................................................... ............... 185 5.6.5 metastable state (preliminary) ............................................................................................ ............ 186 5.6.6 critical paths ............................................................................................................ ....................... 190 5.6.7 ensuring operating margin ................................................................................................. ............. 193 5.7 internal bus configuration .................................................................................................. ...... 194 5.7.1 configuring internal bus .................................................................................................. ................ 194 5.7.2 preventing internal bus floating .......................................................................................... ............. 194 5.7.3 precautions when using internal bus ....................................................................................... ....... 195 5.8 preventing contention with external bus ............................................................................... 196 5.9 testability ................................................................................................................. ..................197 5.9.1 flip-flop initial setting ................................................................................................. ..................... 197 5.9.2 counter division .......................................................................................................... .................... 197 5.9.3 adding test pins and dividing circuits .................................................................................... .......... 198 5.10 racing and spike noise ..................................................................................................... ....... 199 5.10.1 racing (contention) ...................................................................................................... ................... 199 5.10.2 spike noise .............................................................................................................. ....................... 201 chapter 6 test pattern generation ........................................................................................ 204 6.1 test pattern types .......................................................................................................... ............204 6.2 notes from viewpoint of product test (lsi tester) ................................................................. 205 6.2.1 i/o pin naming conventions ................................................................................................ ............ 205 6.2.2 limitations on test pattern length ........................................................................................ ............ 205 6.2.3 number of test patterns ................................................................................................... ............... 206 6.3 notes on creating test pattern for function test ................................................................... 206 6.3.1 initializing circuit ...................................................................................................... ........................ 206 6.3.2 test cycle (test rate) .................................................................................................... .................... 206 6.3.3 output determination time (strobe time) ................................................................................... ...... 206 6.3.4 specification of timing phase ............................................................................................. ............. 207 6.3.5 skew ...................................................................................................................... ......................... 209 6.3.6 notes on switching i/o mode of bidirectional pin .......................................................................... .. 209 6.3.7 i/o modulation function ................................................................................................... ................ 211 6.3.8 i/o conflict .............................................................................................................. ......................... 213
12 design manual a13826ej7v0dm 6.3.9 testing multifunction i/o circuits ........................................................................................ ............. 213 6.4 notes on creating dc test patterns ......................................................................................... 2 14 6.5 test pattern for on-chip ram ................................................................................................ .. 215 6.6 high-speed function test (real-time test) ............................................................................ 216 6.6.1 limitation of the test pattern length ..................................................................................... ............ 216 6.6.2 test cycle (test rate) .................................................................................................... .................... 216 6.6.3 output determination time (strobe time) ................................................................................... ...... 216 6.6.4 notes on high-speed function testing ...................................................................................... ....... 217 6.7 testability (fault coverage) ................................................................................................ ...... 219 6.7.1 consideration of testability (fault coverage) ............................................................................. ....... 219 6.7.2 principle of fault simulation ............................................................................................. ................ 219 6.8 consideration of system simulation ....................................................................................... 222 chapter 7 multifunction blocks ............................................................................................. 223 7.1 buffer with fail-safe function .............................................................................................. .... 224 7.2 input/output/bidirectional buffers with on-chip pull-up/pull-down resistors .................. 225 7.3 oscillator .................................................................................................................. ..................226 7.3.1 configuration of oscillator ............................................................................................... ................ 226 7.3.2 description of oscillator ................................................................................................. .................. 227 7.3.3 using oscillator (resonator) and cts together ............................................................................. .. 229 7.3.4 notes on configuring an oscillator ........................................................................................ ........... 230 7.3.5 constants of external circuit ............................................................................................. ............... 232 7.4 memory ...................................................................................................................... ................. 235 7.4.1 types of memory blocks .................................................................................................... ............. 235 7.4.2 ram blocks ................................................................................................................ ..................... 236 7.5 writing memory blocks ....................................................................................................... ...... 238 7.5.1 selecting memory blocks ................................................................................................... ............. 238 7.5.2 using memory blocks ....................................................................................................... ............... 238 7.6 memory test ................................................................................................................. .............. 239 7.6.1 ram test .................................................................................................................. ....................... 239 7.6.2 assigning test i/o pins (tin, teb, and tout) .............................................................................. .. 242 7.6.3 checking connection of ram test circuit ................................................................................... ..... 244 7.7 scan path test block ........................................................................................................ .........245 appendix a power consumption (preliminary) .................................................................... 246 appendix b propagation delay time ......................................................................................... 248 appendix c albatross and dif file formats ........................................................................ 249 c.1 albatross file format (circuit name.alb) ........................................................................... 249 c.2 dif file format (circuit name.dif) .......................................................................................... .. 251 appendix d drawing circuit diagrams and timing charts .............................................. 253 d. 1 drawing circuit diagrams .................................................................................................... ..... 253 d .1.1 logic symbols ............................................................................................................. .................... 253
13 design manual a13826ej7v0dm d .1.2 block names (function names) .............................................................................................. .......... 253 d .1.3 pin names (i/o pin name of block) ......................................................................................... ......... 253 d .1.4 gate names (specific name of each block) .................................................................................. ... 253 d .1.5 i/o pin names ............................................................................................................. .................... 254 d. 2 handling macros ............................................................................................................. ...........257 d. 3 preparing timing charts ..................................................................................................... ...... 259 appendix e list of blocks ..................................................................................................... ...... 261 e.1 interface block ............................................................................................................. .............. 261 e.1.1 cmos level ................................................................................................................ .................... 261 e.1.2 ttl level ................................................................................................................. ....................... 268 e.1.3 oscillator ................................................................................................................ ......................... 273 e.2 function block .............................................................................................................. ............. 274 e.2.1 level generator ........................................................................................................... ................... 274 e.2.2 inverter, buffer, cts driver, delay gate .................................................................................. ....... 274 e.2.3 or(nor) ................................................................................................................... ..................... 275 e.2.4 and(nand) ................................................................................................................. ................... 276 e.2.5 and-nor ................................................................................................................... .................... 277 e.2.6 or-nand ................................................................................................................... .................... 278 e.2.7 exclusive or, exclusive nor ............................................................................................... ......... 279 e.2.8 adder, 3-state buffer, decoder, multiplexer, generator .................................................................. 28 0 e.2.9 rs-latch, rs-f/f .......................................................................................................... ................. 281 e.2.10 d-latch .................................................................................................................. ......................... 281 e.2.11 d-f/f .................................................................................................................... ........................... 282 e.2.12 t-f/f, jk-f/f ............................................................................................................ ....................... 284 e.3 scan path block ............................................................................................................. ............ 285 e.3.1 standard type ............................................................................................................. .................... 285 e.3.2 nec scan .................................................................................................................. ..................... 285 e.3.3 scan controller ........................................................................................................... .................... 286 e.4 boundary scan block ......................................................................................................... .......287 e.4.1 tap macro ................................................................................................................. ..................... 287 e.4.2 level generator ........................................................................................................... ................... 287 e.4.3 data register ............................................................................................................. ..................... 287 e.4.4 d-latch, selector, shift register ......................................................................................... ............. 287 e.4.5 soft macro ................................................................................................................ ...................... 287
14 design manual a13826ej7v0dm 1-1 gate array configuration .................................................................................................... ............................ 22 1-2 internal cell equivalent circuit ............................................................................................ ........................... 23 1-3 equivalent circuits ......................................................................................................... ................................. 24 1-4 cross-section of qfp package ................................................................................................ ...................... 24 2-1 pin-pair count .............................................................................................................. .................................. 26 2-2 shape of cell range occupied by macros (with 4 basic macros) ................................................................ .34 2-3 cell range occupied by macro ................................................................................................ ...................... 35 2-4 area where macros cannot be implemented ..................................................................................... ........... 36 2-5 allowable power consumption vs. qfp type .................................................................................... ............. 46 4-1 leakage current ............................................................................................................. .............................. 112 4-2 current consumption of on-chip 50 k ? resistor (i pd ) ................................................................................ 113 4-3 current consumption of on-chip 5 k ? resistor (i pu ) .................................................................................. 113 4-4 input through current (v dd = 5.0 v cmos level) ........................................................................................ 115 4-5 input through current (v dd = 5.0 v ttl level) ............................................................................................ 115 4-6 input through current (v dd = 5.5 v cmos level schmitt) ........................................................................... 115 4-7 input through current (v dd = 5.5 v ttl level schmitt) ............................................................................... 115 4-8 input through current (v dd = 3.6 v cmos level) ........................................................................................ 116 4-9 input through current (v dd = 3.3 v ttl level) ............................................................................................ 116 4-10 input through current (v dd = 3.6 v cmos level schmitt) ........................................................................... 116 4-11 input through current (v dd = 3.3 v ttl level schmitt) ............................................................................... 116 4-12 oscillator configuration diagram ........................................................................................... ....................... 121 4-13 propagation delay time ..................................................................................................... .......................... 134 4-14 t pd va r iation ..................................................................................................................... ............................. 135 4-15 f max . vs. c l limit (cmos level output) ..................................................................................................... ... 139 4-16 f max . vs. c l limit (cmos level low-noise output) ...................................................................................... 141 4-17 v dd dependency of i ol / i oh ......................................................................................................................... 143 4-18 t a dependency of i ol / i oh ........................................................................................................................... 144 4-19 i o vs. v o (@5.0 v) ...................................................................................................................... ................... 145 4-20 i o vs. v o (@3.3 v) ...................................................................................................................... ................... 147 4-21 malfunction caused by simultaneous operation ............................................................................... .......... 150 5-1 basic circuit configuration ................................................................................................. .......................... 159 5-2 wired logic circuit prohibitions ............................................................................................ ........................ 160 5-3 ringing ..................................................................................................................... .................................... 161 5-4 example of preventive circuit ............................................................................................... ........................ 161 5-5 differential circuit prohibition ............................................................................................ ........................... 162 5-6 asynchronous rs latches ..................................................................................................... ...................... 163 5-7 loop circuit ................................................................................................................ .................................. 164 5-8 clock skew .................................................................................................................. ................................. 167 5-9 clock skew countermeasure 1 ................................................................................................. ................... 168 5-10 clock skew countermeasure 2 ................................................................................................ .................... 169 list of figures (1/3) figure no. title page
15 design manual a13826ej7v0dm list of figures (2/3) figure no. title page 5-11 double-phase synchronous circuit ........................................................................................... ................... 170 5-12 countermeasures for clock lines between macros ............................................................................. ....... 172 5-13 concept of cts ............................................................................................................. ............................... 173 5-14 image of cts use (example of fc44) ......................................................................................... ................ 175 5-15 clock skew optimization .................................................................................................... .......................... 176 5-16 example of cts block description ........................................................................................... .................... 177 5-17 configuring high-speed operational (stable) circuits ....................................................................... .......... 178 5-18 setup time ................................................................................................................. .................................. 180 5-19 hold time .................................................................................................................. ................................... 180 5-20 release time ............................................................................................................... ................................ 180 5-21 removal time ............................................................................................................... ................................ 180 5-22 minimum pulse width ........................................................................................................ ........................... 180 5-23 example of delay time margin calculation circuit ........................................................................... ............ 181 5-24 timing estimate ............................................................................................................ ................................ 181 5-25 example of in-phase clock circuit .......................................................................................... ..................... 182 5-26 in-phase clock timing ...................................................................................................... ............................ 182 5-27 example of inverse-phase clock circuit ..................................................................................... ................. 184 5-28 inverse-phase clock timing ................................................................................................. ........................ 184 5-29 minimum pulse width estimate ............................................................................................... ..................... 185 5-30 pulse narrowing ............................................................................................................ ............................... 185 5-31 system with critical paths ................................................................................................. ........................... 190 5-32 example of input-input critical path ....................................................................................... ...................... 192 5-33 verification of setup time ................................................................................................. ............................ 192 5-34 bus configuration .......................................................................................................... ............................... 194 5-35 examples of internal bus floating prevention circuit ....................................................................... ........... 194 5-36 external bus floating prevention countermeasure ............................................................................ ......... 196 5-37 flip-flop initial setting .................................................................................................. ................................ 197 5-38 counter division ........................................................................................................... ................................ 197 5-39 racing ..................................................................................................................... ..................................... 200 5-40 example of data selector circuit ........................................................................................... ....................... 201 5-41 example of test patterns (before improvement) .............................................................................. ............ 202 5-42 example of test patterns (after improvement) ............................................................................... .............. 203 6-1 timing phase ................................................................................................................ ................................ 208 6-2 example of incorrect bidirectional pin switch timing ........................................................................ .......... 209 6-3 contention during input/output switching .................................................................................... ............... 210 6-4 test pattern example ........................................................................................................ ........................... 215 6-5 strobe time ................................................................................................................. ................................. 216 6-6 real-time simulation results ................................................................................................ ...................... 218 6-7 concept of fault simulation ................................................................................................. ......................... 220 6-8 creating test patterns by system simulation ................................................................................. .............. 222 7-1 equivalent circuit diagram for buffer with fail-safe function ............................................................... ....... 224
16 design manual a13826ej7v0dm list of figures (3/3) figure no. title page 7-2 example of oscillator configuration ......................................................................................... .................... 226 7-3 oscillator configuration .................................................................................................... ............................ 227 7-4 example of recommended circuit configuration ................................................................................ ......... 229 7-5 example of gnd pattern on board ............................................................................................. ................. 230 7-6 example of overtone circuits ................................................................................................ ....................... 231 7-7 oscillator configuration diagram ............................................................................................ ...................... 234 7-8 single-port ram circuit configuration ....................................................................................... .................. 236 7-9 dual-port ram circuit configuration ......................................................................................... ................... 237 7-10 test circuit (bist) block diagram .......................................................................................... ...................... 239 7-11 ram test circuits .......................................................................................................... ............................... 240 7-12 making tin pin alternate function .......................................................................................... ..................... 243 7-13 making tout pin alternate function ......................................................................................... .................. 243 7-14 example of test patterns ................................................................................................... ........................... 244 7-15 theory of scan path test method ............................................................................................ .................... 245 a-1 load dependency of power consumption ........................................................................................ ........... 247 b-1 delay time increase due to input waveform ................................................................................... ............ 248 d-1 circuit diagram example ..................................................................................................... ......................... 254 d-2 bidirectional pin names ..................................................................................................... .......................... 255 d-3 bidirectional pin test pattern generation ................................................................................... .................. 255 d-4 3-state output pin names .................................................................................................... ........................ 256 d-5 3-state output pin test pattern generation .................................................................................. ............... 256 d-6 handling macros ............................................................................................................. .............................. 258 d-7 timing chart entry .......................................................................................................... ............................. 259 d-8 timing chart example ........................................................................................................ .......................... 259
17 design manual a13826ej7v0dm 1-1 products .................................................................................................................... ..................................... 21 2-1 number of cells placed ...................................................................................................... ............................ 27 2-2 usable gates and pin-pair count ............................................................................................. ...................... 27 2-3 list of mountability ........................................................................................................ .................................. 30 2-4 minimum number of cells occupied by basic macro ............................................................................. ....... 37 2-5 occupied cell ranges ........................................................................................................ ............................ 38 3-1 terminology for absolute maximum ratings .................................................................................... .............. 98 3-2 terminology for recommended operating conditions ............................................................................ ....... 98 3-3 terminology for dc characteristics .......................................................................................... ...................... 99 3-4 absolute maximum ratings .................................................................................................... ...................... 100 3-5 recommended operating range (v dd = 5.0 v 10%, t a = ?0 to +85 c) .................................................. 101 3-6 dc characteristics (v dd = 5.0 v 10%, t a = ?0 to +85 c) ......................................................................... 102 3-7 ac characteristics (v dd = 5.0 v 10%, t a = ?0 to +85 c) ......................................................................... 103 3-8 recommended operating range (v dd = 3.0 0.3 v, t a = ?0 to +85 c) ..................................................... 104 3-9 dc characteristics (v dd = 3.0 0.3 v, t a = ?0 to +85 c) ............................................................................ 105 3-10 ac characteristics (v dd = 3.0 0.3 v, t a = ?0 to +85 c) ............................................................................ 106 3-11 recommended operating range (v dd = 3.3 0.3 v, t a = ?0 to +85 c) ..................................................... 107 3-12 dc characteristics (v dd = 3.3 0.3 v, t a = ?0 to +85 c) ............................................................................ 108 3-13 ac characteristics (v dd = 3.3 0.3 v, t a = ?0 to +85 c) ............................................................................ 109 3-14 capacitance of interface block (c b ) ............................................................................................................. 110 3-15 capacitance of packages (c p ) (preliminary values) .................................................................................... 110 4-1 power consumption by input buffer ........................................................................................... .................. 119 4-2 output buffer power consumption ............................................................................................. .................. 120 4-3 oscillator power consumption (reference values) (v dd = 5.0 v 10%, t a = ?0 to +85 c) ....................... 121 4-4 compensation coefficient (k 1 , k 2 , k 3 ) .......................................................................................................... 126 4-5 wiring capacitance estimate (wiring length converted to f/i value) ......................................................... 1 31 4-6 t r and t f calculation coefficients of output buffer (v dd = 5.0 v, t a = 25 c) ................................................... 137 4-7 t r and t f calculation coefficients of output buffer (v dd = 3.3 v, t a = 25 c) ................................................... 137 4-8 recommended load capacitance ranges of output buffers (@5.0 v) ...................................................... 138 4-9 recommended load capacitance ranges of output buffers (@3.3 v) ...................................................... 138 4-10 reference time ranges for simultaneous operation (typ.) .................................................................... ... 151 4-11 permissible number of simultaneous operation pins between 3 gnd pins (i ol = 12 ma) ......................... 155 4-12 coefficient of number of simultaneous operation pins ....................................................................... ........ 156 5-1 f617 (d-f/f with rb, sb) .................................................................................................... ......................... 165 5-2 features of single-phase and multi-phase synchronous circuit design ..................................................... 166 5-3 cts blocks (reference) ...................................................................................................... ......................... 174 6-1 test pattern types .......................................................................................................... .............................. 204 6-2 restrictions on pin names ................................................................................................... ........................ 205 list of tables (1/2) ta b le no. title page
18 design manual a13826ej7v0dm list of tables (2/2) ta b le no. title page 6-3 limitations on number of test patterns ...................................................................................... .................. 205 6-4 timing phase number ......................................................................................................... ......................... 207 6-5 timing constraints .......................................................................................................... .............................. 207 6-6 clock mode .................................................................................................................. ................................. 208 7-1 recommended oscillation frequency range and configuration ................................................................. 22 6 7-2 example of criteria ......................................................................................................... .............................. 232 7-3 list of resonator evaluations ............................................................................................... ........................ 233 7-4 memory blocks ............................................................................................................... .............................. 235
19 design manual a13826ej7v0dm chapter 1 overview 1.1 features the following table lists the cmos-n5 series features. ve ry large-scale integration (vlsi) .......... 3 k to 120 k gates process ..................................................... 0.5 m rule si gates, 2-layer metal routing input interface ........................................... cmos ttl compatible internal blocks ........................................... more than 190 types of function blocks tw o types are available: high-speed and low-power scan path block driver for clock tree synthesis memory blocks .......................................... single-port ram dual-port ram va r iety of peripheral blocks ...................... cmos ttl level input buffer input buffer with fail-safe function cmos level output buffer high drive capability buffer (i ol = 24.0 ma) low-noise output buffer buffer with internal pull-up resistor (5 k ? /50 k ? ) buffer with internal pull-down resistor (50 k ? ) other ......................................................... high latch-up immunity
20 chapter 1 overview design manual a13826ej7v0dm the features for each power supply voltage are as follows. (1) v dd = 5.0 v 10% high-speed operation ............ t pd = 0.14 ns (2-input nand (low power gate), fan-outs: 1, wiring length: 0 mm) t pd = 0.21 ns (2-input nand (low power gate), fan-outs: 1, standard wiring length) t pd = 0.46 ns (2-input nand (low power gate), fan-outs: 2, wiring length: 2 mm) t pd = 0.16 ns (2-input nand, fan-outs: 1, standard wiring length) t pd = 0.30 ns (2-input nand, fan-outs: 2, wiring length: 2 mm) t pd = 0.18 ns (2-input nand, fan-outs: 2, standard wiring length) t pd = 0.33 ns (input buffer, fan-outs: 2, wiring length: 2 mm) t pd = 0.23 ns (input buffer, fan-outs: 1, standard wiring length) t pd = 1.30 ns (output buffer, c l = 15 pf, i ol = 9 ma) po w er consumption ............... 1.35 w/mhz/cell (internal gate, operating factor: 0.3) maximum clock frequency?.f max = 200 mhz (internal toggle f/f, fan-outs: 2, wiring length: 0 mm) (2) v dd = 3.0 0.3 v high-speed operation ............ t pd = 0.20 ns (2-input nand (low power gate), fan-outs: 1, wiring length: 0 mm) t pd = 0.30 ns (2-input nand (low power gate), fan-outs: 1, standard wiring length) t pd = 0.23 ns (2-input nand, fan-outs: 1, standard wiring length) t pd = 0.42 ns (2-input nand, fan-outs: 2, wiring length: 2 mm) t pd = 0.26 ns (2-input nand, fan-outs: 2, standard wiring length) t pd = 0.47 ns (input buffer, fan-outs: 2, wiring length: 2 mm) t pd = 0.34 ns (input buffer, fan-outs: 1, standard wiring length) t pd = 2.16 ns (output buffer, c l = 15 pf, i ol = 9 ma) po w er consumption ............... 0.49 w/mhz/cell (internal gate, operating factor: 0.3) maximum clock frequency?. f max = 120 mhz (internal toggle f/f, fan-outs: 2, wiring length: 0 mm) (3) v dd = 3.3 0.3 v high-speed operation ............ t pd = 0.18 ns (2-input nand (low power gate), fan-outs: 1, wiring length: 0 mm) t pd = 0.28 ns (2-input nand (low power gate), fan-outs: 1, standard wiring length) t pd = 0.22 ns (2-input nand, fan-outs: 1, standard wiring length) t pd = 0.39 ns (2-input nand, fan-outs: 2, wiring length: 2 mm) t pd = 0.24 ns (2-input nand, fan-outs: 2, standard wiring length) t pd = 0.44 ns (input buffer, fan-outs: 2, wiring length: 2 mm) t pd = 0.31 ns (input buffer, fan-outs: 1, standard wiring length) t pd = 2.02 ns (output buffer, c l = 15 pf, i ol = 9 ma) po we r consumption?.......... 0.59 w/mhz/cell (internal gate, operating factor: 0.3) maximum clock frequency?. f max = 130 mhz (internal toggle f/f, fan-outs: 2, wiring length: 0 mm)
21 chapter 1 overview design manual a13826ej7v0dm 1.2 cmos-n5 series products ta b le 1-1. products master number of row gates number of usable gates pd65891 1920 1536 pd65880 3456 2937 pd65881 5880 4998 pd65892 6692 5593 pd65882 13952 11859 pd65894 15232 12185 pd65883 25344 21542 pd65884 33864 28784 pd65885 40768 30576 pd65887 56496 41730 pd65889 76000 57000 pd65890 99528 74646 pd65893 123384 92538 remark to tal number of gates integrated on the chip in terms of 2-input nand (1 cell = 1 gate) usable cell rate: pd65880, 65881, 65882, 65883, 65884 ... 85% pd65891, 65892, 65894 ... 80% pd65885, 65887, 65889, 65890, 65893 ... 75%
22 chapter 1 overview design manual a13826ej7v0dm internal cell internal cell region i/o cell region i/o cell as shown in this figure, the cmos-n5 series does not have fixed routing regions in the internal cell region, and the entire surface of the internal cell region is filled with basic cells. the internal cell region consists of various function blocks (such as nand gates and d-f/f) and memory that are connected via routing layers to implement the desired circuit functions. input and output buffers are placed in the i/o cell region to adjust the input-level conversion and output drive capability. some internal cells are also part of the i/o buffer implementation. 1.3 internal structure of cmos-n5 series figure 1-1 shows the cmos gate array internal structure, which is comprised of an internal cell region and an i/o cell region. figure 1-1. gate array configuration
23 chapter 1 overview design manual a13826ej7v0dm figure 1-3 (a) and (b) show the equivalent circuits of a 2-input nor gate and a 2-input nand gate. because the on resistance of the n-ch. tr is about fifty percent less than that of the p-ch. tr, a large current can be sent through the n-ch. tr. therefore, as shown in figure 1-3 (a), the on resistance of the output rise side at the nor gate, which is serially connected to the p-ch. tr, becomes larger, and the drive capability of the load drops. in cmos gate arrays, the nor fan-out drive is slower than the nand fan-out drive. because of this, the nand b locks should be used as much as possible to increase the speed and stability of the circuit. fo r the same reason, complex gates that serially connect many transistors tend to be slow, and therefore should not be used in high-speed circuits. use complex gates to improve cell utilization when speed is not as important. because virtually no power flows in the steady state, the power consumption for a cmos circuit is extremely low. a cmos circuit consumes current mostly during s witching. because a high transient current flows during s witching, either a high-speed capacitor with a high capacitance must be inserted between the power supply and ground, or the impedance of the power supply lowered. in addition, if a waveform with a slow rise/fall time is applied to a cmos circuit, both the p-ch. tr and n-ch. tr will remain in the on state for a period of time, causing a through current to flow between the p-ch. tr and n-ch. tr, resulting not only in increased current consumption but also possible malfunction. 1.4 internal cell structure the circuit diagram in figure 1-2 represents a cmos-n5 series internal cell. each cell of the cmos-n5 series can be configured as a device such as a two-input nand/nor gate, an inverter, or a buffer. a cmos circuit consists of a p-channel mos transistor (p-ch. tr) and an n-channel mos transistor (n-ch. tr). normally, either the p-ch. tr or the n-ch. tr is in the off state. figure 1-2. internal cell equivalent circuit
24 chapter 1 overview design manual a13826ej7v0dm v dd y a b v dd y a b bonding wire chip lead island 1.5 qfp package figure 1-4 shows a cross-section of a normal qfp package. in a normal qfp package, the chip is placed on a metal plate called an island. the leads and chip are connected by fine bonding wires measuring only several 10 m in diameter. in a low thermal resistance type qfp package, the lead and island materials have increased thermal dissipation properties. the construction itself is the same as a normal qfp package. figure 1-4. cross-section of qfp package figure 1-3. equivalent circuits (a) 2-input nor equivalent circuit (b) 2-input nand equivalent circuit
25 design manual a13826ej7v0dm chapter 2 implementing the system using the gate array be sure to read this chapter since it describes the information that is important when starting design. when using gate arrays to develop an lsi to implement some or all of a system designed by the user, the specifications m ust be determined so that the circuit scale and the number of i/o pins of the gate arrays are optimum. as the circuit scale increases, designing the circuit becomes more difficult and the cost of the lsi increases. however, because the number of i/o pins can be reduced, so can the mounting area on a printed wiring board. in addition, because the number of lsis used decreases, the propagation delay time is shortened. however, as the circuit scale decreases, many separate gate arrays are required to configure the system. this is disadvantageous in terms of printed circuit board mounting. moreover, because signals are transferred between many lsis, it is difficult to shorten the propagation delay time. therefore, when selecting a gate array, take into consideration the propagation delay time and circuit scale. select a gate array in the following steps. [circuit selection steps] (1) estimate circuit scale and master size (2) select package (3) verify power consumption (4) verify pin placement (5) verify i/o interface level (6) design circuit (7) interface (8) check using the check items
26 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm a b c h01 h02 n01 block a n01-block b h01 block a n01-block c h02 total of 2 pairs (pin pair count = 2) 2.1 estimating circuit scale 2.1.1 cell utilization rate, usable cell and pin-pair count limits in a channel architecture gate array, the internal cell region is divided into two regions. ? egion where transistors that implement the function block are placed routing dedicated region in a channelless architecture gate array, the region for implementing the function blocks cannot be clearly distinguished from the routing region because the transistors that implement the function blocks are laid out over the entire internal cell region. consequently, there is a stronger correlation between the number of cells utilized and the number of nets. the cmos-n5 series uses a channelless architecture (sea-of-gates). this means that not all cells in the internal cell region can be used for function blocks such as gates, flip-flops, and memory. the number of cells actually used is the difference between the total number of cells and the routing cell region used by the number of wires between b locks (number of pin pairs). the maximum cell utilization rate for the cmos-n5 series is as follows. pd65880, 65881, 65882, 65883, 65884 : 85% pd65891, 65892, 65894 : 80% pd65885, 65887, 65889, 65890, 65893 : 75% however, if a large-scale block, such as memory, is placed, it may be that the total cell utilization rate is further limited, depending on the type of macro (see 2.1.3 large-scale macro mounting f or details). the pin-pair count is limited by the cell utilization rate and can be calculated by the following formula: pin-pair count = 150 nu mber of raw cells {(100 ?cell utilization rate)/100} 2 /74.69 [pin-pair count] is the number of wires connecting the output pins and input pins between blocks (see figure 2-1 ). figure 2-1. pin-pair count if many small-scale blocks such as inverters are used, routing between blocks increases compared with the number of cells used, which increases the number of routing channels. conversely, if many large-scale blocks such as memory are used, routing between blocks decreases compared with the number of cells used, which decreases the number of channels required. consequently, when placing large-scale blocks, such as memory, the cell utilization rate is further limited. circuits that do not include memory are limited by the pin-pair count.
27 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-1. number of cells placed master x y cells placed pd65891 80 24 1920 pd65880 108 32 3456 pd65881 140 42 5880 pd65892 152 46 6992 pd65882 218 64 13952 pd65894 224 68 15232 pd65883 288 88 25344 pd65884 332 102 33864 pd65885 364 112 40768 pd65887 428 130 55640 pd65889 500 152 76000 pd65890 572 174 99528 pd65893 636 194 123384 remark x y under the heading ?ells placed indicates that the master has a cell space of x in the horizontal direction and a cell space of y in the vertical direction. if the actual cell utilization rate and pin-pair count can be satisfied, placement and routing can be guaranteed in the standard schedule in most cases. on the other hand, in cases where the limits are exceeded, placement and routing requires a longer time and, in the worst case, becomes impossible. ta b le 2-2 shows the number of usable gates and the corresponding pin-pair count with respect to the cell utilization r ate. ta b le 2-2. usable gates and pin-pair count (1/2) master 40% cell utilization 50% cell utilization 60% cell utilization usable pin pairs usable pin pairs usable pin pairs pd65891 768 1388 960 963 1152 616 pd65880 1382 2498 1728 1735 2073 1110 pd65881 2352 4251 2940 2952 3528 1889 pd65892 2796 5055 3496 3510 4195 2246 pd65882 5580 10087 6976 7004 8371 4483 pd65894 6092 11012 7616 7647 9139 4894 pd65883 10137 18323 12672 12724 15206 8143 pd65884 13545 24483 16932 17002 20318 10881 pd65885 16307 29474 20384 20468 24460 13099 pd65887 22256 40227 27820 27935 33384 17878 pd65889 30400 54947 38000 38157 45600 24420 pd65890 39811 71957 49764 49970 59716 31981 pd65893 49353 89205 61692 61948 74030 39646
28 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta b le 2-2. usable gates and pin-pair count (2/2) master 70% cell utilization 75% cell utilization 80% cell utilization 85% cell utilization usable pin pairs usable pin pairs usable pin pairs usable pin pairs pd65891 1344 347 1440 240 1536 154 1632 86 pd65880 2419 624 2592 433 2764 277 2937 156 pd65881 4116 1062 4410 738 4704 472 4998 265 pd65892 4894 1263 5244 877 5593 561 5943 315 pd65882 9766 2521 10464 1751 11161 1120 11859 630 pd65894 10662 2753 11424 1911 12185 1223 12947 688 pd65883 17740 4580 19008 3181 20275 2035 21542 1145 pd65884 23704 6120 25398 4250 27091 2720 28784 1530 pd65885 28537 7368 30576 5117 32614 3274 34652 1842 pd65887 38948 10056 41730 6983 44512 4469 47294 2514 pd65889 53200 13736 57000 9539 60800 6105 64600 3434 pd65890 69669 17989 74646 12492 79622 7995 84598 4497 pd65893 86368 22301 92538 15847 98707 9911 104876 5575
29 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.1.2 notes on estimating number of cells used (1) input/output/bidirectional buffer blocks not only i/o cells but also internal cells are used to configure external interface blocks such as input, output, and bidirectional blocks. therefore, add the number of internal cells used for input, output, and bidirectional b uffer blocks described in the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) when calculating the total number of cells used. (2) critical paths if there is a path in which speed is a problem, measures can be taken in some cases to shorten the propagation delay of that path. however, routability drops dramatically when such measures are taken. in such a case, the cell utilization rate and maximum pin-pair count should be reduced by about 10 to 20%. (3) macro configuration placement and routing are performed for each hierarchical macro (first hierarchy) in the circuit. therefore, the hierarchical configuration calls for adequate consideration when a macro is created. keep in mind the following points when performing hierarchical designing. (a) because the routing between macros of the first hierarchy is long, avoid hierarchical design that implements one function between macros. (b) avoid placing a small-scale macro used to facilitate circuit designing in the first hierarchy. 2.1.3 large-scale macro mounting large-scale macro mountability is determined by whether or not it is possible to achieve the range (x y) of cells needed to implement the macros on the physical space of the internal cells indicated by (x y). for soft macros configured by small and medium-scale blocks, virtually no problems of mounting arise as long as the cell utilization r ate is satisfied. however, there are cases in which large-scale hard macros such as ram blocks (basic macro) and megamacros cannot be physically mounted due to the size of the master. (1) where only one large-scale macro is mounted ta b le 2-3 shows the mountability of each large-scale macro on each master.
30 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta ble 2-3. list of mountability (1/4) (a) single-port ram (1/2) master rb47 rb49 rb4b rb4d rb4f rb4h rb4m rb4s rb87 rb89 rb8b rb8d rb8f rb8h pd65891 ???? pd65880 ?????? pd65881 ?????? pd65892 ??????? pd65882 ???????? ? pd65894 ???????? ? pd65883 ????????? ? pd65884 ?????????? ? pd65885 ?????????? ? pd65887 ?????????? ? pd65889 ?????????? ? pd65890 ?????????? ? pd65893 ?????????? ? master rb8m rbab rbad rbaf rbah rbc7 rbc9 rbcb rbcd rbcf rbch rbcm rbeb rbed pd65891 pd65880 ?? pd65881 ???? pd65892 ????? ? pd65882 ??????? ? pd65894 ??????? ? pd65883 ???????? ? pd65884 ?????????? ? pd65885 ?????????? ? pd65887 ?????????? ? pd65889 ?????????? ? pd65890 ?????????? ? pd65893 ?????????? ? remark : mountable, : not mountable
31 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta ble 2-3. list of mountability (2/4) (a) single-port ram (2/2) master rbef rbeh rbh7 rbh9 rbhb rbhd rbhf rbhh rbkb rbkd rbkf rbkh pd65891 pd65880 pd65881 ? pd65892 ?? pd65882 ???? pd65894 ?? pd65883 ????? pd65884 ??????? pd65885 ???????? pd65887 ????????? pd65889 ?????????? pd65890 ?????????? pd65893 ?????????? remark : mountable, : not mountable
32 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta ble 2-3. list of mountability (3/4) (b) dual-port ram master r947 r949 r94b r94d r94f r94h r987 r989 r98b r98d r98f r9ab r9ad r9c7 pd65891 ?? pd65880 ? ??? pd65881 ?????? pd65892 ?????? pd65882 ???????? ? pd65894 ?????????? ? pd65883 ?????????? ? pd65884 ?????????? ? pd65885 ?????????? ? pd65887 ?????????? ? pd65889 ?????????? ? pd65890 ?????????? ? pd65893 ?????????? ? master r9c9 r9cb r9cd r9cf r9eb r9ed r9h7 r9h9 r9hb r9kb pd65891 pd65880 pd65881 pd65892 ? pd65882 ???? pd65894 ?? ? ?? pd65883 ???????? pd65884 ???????? pd65885 ???????? pd65887 ???????? pd65889 ???????? pd65890 ???????? pd65893 ???????? remark : mountable, : not mountable
33 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta ble 2-3. list of mountability (4/4) (c) megamacro master na37a na51a na54a na55a na59a na16550a pd65891 pd65880 pd65881 ? ? pd65892 ?? ? pd65882 ?? ? pd65894 ?? ? pd65883 ?? ? pd65884 ?? ? pd65885 ?? ? pd65887 ?? ? pd65889 ?? ? pd65890 ?? ? pd65893 ?? ? remark : mountable, : not mountable
34 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm < 2 > < 1 > < 3 > < 4 > basic macros (2) where two or more large-scale macros are mounted whether two or more macros can be mounted is determined by the range of the cells necessary for implementing each macro on the chip, and the range of cells that can be implemented on the master. (a) range of cells occupied by each macro on chip (soft macro ram) an nec electronics ram is implemented by soft macros that consist of a basic macro and a logic area. the shape of the cell area occupied to implement a macro depends on how the basic macro is placed. the cell area occupied is limited by the master selected. figure 2-2. shape of cell range occupied by macros (with 4 basic macros) <1> limited by the number of vertical cells of the master. <2> limited by the number of horizontal cells of the master. <3> effective if the number of basic blocks used becomes large. <4> physically possible but ineffective (because the placement range that can be set up during placement and routing is square or rectangular, the diagonally shaded area is wasted). ta bl e 2-5 lists examples of the cell ranges occupied by macros. however, it is possible to redefine cell r anges for a ram outside those in table 2-4. to define cell ranges for soft macro ram, first find the basic macro name and the number of cells required to configure the soft macro ram in table 2-5. next, find the minimum number of cells occupied by the macro (x and y values) in order to place one basic macro in table 2-4. then calculate the cell range by substituting in the variables in the following equation with the values from tables 2-4 and 2-5.
35 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm y hy y hx x figure 2-3. cell range occupied by macro y = 2 n hy + y x = soft/uty/y in the above equation, x n/2 n hx must be satisfied. soft: number of cells in the soft macro ram uty: 0.60 hx: minimum number of cells occupied in the horizontal direction needed for placing basic macros. hy: minimum number of cells occupied in the vertical direction needed for placing basic macros. n: number of basic macros used n when the number of basic macros is 1, n = 0 when the number of basic macros is 2, n = 0, 1 when the number of basic macros is 4, n = 0, 1, 2 when the number of basic macros is 8, n = 0, 1, 2, 3 when the number of basic macros is 16, n = 0, 1, 2, 3, 4 when the number of basic macros is 32, n = 0, 1, 2, 3, 4, 5 y: arbitrary integer (y = 0, 1, 2, ...)
36 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 15 10 17 internal cells i/o cells (b) array of internal cells of master selected (see table 2-1) internal cells are also used for interface blocks and are limited as closely as possible to the i/o cell range in the cmos-n5 series. the area of internal cells used by the interface block is 17 internal cells from the left edge to the right edge and 2 cells from the top edge to the bottom edge. therefore the cell area in which the macro can be placed must be within the 17 2 range (blank part). figure 2-4. area where macros cannot be implemented (c) determining mountability macros are mountable if they can all be placed without overlapping, within the allowable area for implementing macros on the chip. if they are unmountable, modification of the shape of the macro-occupied area must be considered. if only a few cells overlap, contact nec electronics to determine mountability taking the pin configuration and macro placement position into consideration.
37 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta b le 2-4. minimum number of cells occupied by basic macro (a) single-port ram basic macro name words bits x y k147 16 4 29 12 k149 32 4 47 13 k14d 128 4 79 22 k18b 64 8 81 21 k18f 256 8 158 38 k1ab 64 10 81 25 (b) dual-port ram basic macro name words bits x y k247 16 4 37 13 k249 32 4 63 15 k24d 128 4 112 24 k28b 64 8 113 24 k28f 256 8 224 41 k2ab 64 10 113 28
38 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta b le 2-5 shows the block names and cell ranges occupied by each ram macro on the chip. ta bl e 2-5. occupied cell ranges (1/8) (a) single-port ram (75% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 rb47 k147 15412 32 4 rb49 k149 17113 64 4 rb4b k149 26126 122 13 128 4 rb4d k14d 19622 256 4 rb4f k14d 28944 177 22 512 4 rb4h k14d 48191 168 44 335 22 1k 4 rb4m k14d 878 185 159 91 328 44 2k 4 rb4s k14d 16 155 185 155 91 16 8 rb87 k147 2442 48712 32 8 rb89 k149 26126 122 13 64 8 rb8b k18b 1 100 21 128 8 rb8d k14d 28944 177 22 256 8 rb8f k18f 1 170 38 512 8 rb8h k18f 2 165 76 329 38 1k 8 rb8m k18f 4 159 155 323 76 64 10 rbab k1ab 19825 128 10 rbad k1ab 29150 181 25 256 10 rbaf k1ab 484 103 173 50 346 25 512 10 rbah k1ab 8 164 103 338 50 16 16 rbc7 k147 4365 17724 153 12 32 16 rbc9 k149 45355 112 26 224 13 64 16 rbcb k18b 29342 186 21 128 16 rbcd k14d 48291 170 44 340 22 256 16 rbcf k18f 2 165 76 330 38 512 16 rbch k18f 4 159 155 324 76 1k 16 rbcm k18f 8 315 155 64 20 rbeb k1ab 29350 185 25 128 20 rbed k1ab 485 103 175 50 350 25 256 20 rbef k1ab 8 165 103 339 50 512 20 rbeh k1ab 16 324 103 16 32 rbh7 k147 833 105 68 51 143 24 286 12 32 32 rbh9 k149 850 113 101 55 214 26 427 13 64 32 rbhb k18b 48787 179 42 357 21 128 32 rbhd k14d 879 185 161 91 333 44 256 32 rbhf k18f 4 160 155 325 76 512 32 rbhh k18f 8 315 155 64 40 rbkb k1ab 487 103 179 50 357 25 128 40 rbkd k1ab 8 167 103 343 50 256 40 rbkf k18f 5 315 117 485 76 512 40 rbkh k18f 10 474 155 624 117
39 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (2/8) (b) single-port ram (70% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 rb47 k147 15612 32 4 rb49 k149 17313 64 4 rb4b k149 26226 124 13 128 4 rb4d k14d 19722 256 4 rb4f k14d 28944 178 22 512 4 rb4h k14d 48291 168 44 336 22 1k 4 rb4m k14d 879 185 159 91 329 44 2k 4 rb4s k14d 16 155 185 314 91 16 8 rb87 k147 2452 48912 32 8 rb89 k149 26226 124 13 64 8 rb8b k18b 1 101 21 128 8 rb8d k14d 28944 178 22 256 8 rb8f k18f 1 171 38 512 8 rb8h k18f 2 165 76 330 38 1k 8 rb8m k18f 4 159 155 324 76 64 10 rbab k1ab 19925 128 10 rbad k1ab 29250 183 25 256 10 rbaf k1ab 485 103 174 50 347 25 512 10 rbah k1ab 8 165 103 338 50 16 16 rbc7 k147 4375 1782415612 32 16 rbc9 k149 45455 114 26 227 13 64 16 rbcb k18b 29442 187 21 128 16 rbcd k14d 48391 171 44 341 22 256 16 rbcf k18f 2 166 76 331 38 512 16 rbch k18f 4 159 155 325 76 1k 16 rbcm k18f 8 315 155 64 20 rbeb k1ab 29350 186 25 128 20 rbed k1ab 486 103 176 50 352 25 256 20 rbef k1ab 8 165 103 340 50 512 20 rbeh k1ab 16 324 103 16 32 rbh7 k147 834 105 68 51 145 24 289 12 32 32 rbh9 k149 850 113 102 55 216 26 431 13 64 32 rbhb k18b 48787 180 42 359 21 128 32 rbhd k14d 880 185 162 91 334 44 256 32 rbhf k18f 4 160 155 326 76 512 32 rbhh k18f 8 316 155 64 40 rbkb k1ab 488 103 180 50 359 25 128 40 rbkd k1ab 8 168 103 345 50 256 40 rbkf k18f 5 315 117 485 76 512 40 rbkh k18f 10 474 155 624 117
40 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (3/8) (c) single-port ram (60% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 rb47 k147 16112 32 4 rb49 k149 17713 64 4 rb4b k149 26526 129 13 128 4 rb4d k14d 1 100 22 256 4 rb4f k14d 29144 181 22 512 4 rb4h k14d 48291 170 44 339 22 1k 4 rb4m k14d 879 185 160 91 331 44 2k 4 rb4s k14d 16 155 185 316 91 16 8 rb87 k147 2472 49412 32 8 rb89 k149 26526 129 13 64 8 rb8b k18b 1 105 21 128 8 rb8d k14d 29144 182 22 256 8 rb8f k18f 1 173 38 512 8 rb8h k18f 2 166 76 332 38 1k 8 rb8m k18f 4 159 155 325 76 64 10 rbab k1ab 1 102 25 128 10 rbad k1ab 29350 186 25 256 10 rbaf k1ab 486 103 176 50 351 25 512 10 rbah k1ab 8 166 103 341 50 16 16 rbc7 k147 4395 1812416212 32 16 rbc9 k149 45555 117 26 233 13 64 16 rbcb k18b 29642 191 21 128 16 rbcd k14d 48491 173 44 345 22 256 16 rbcf k18f 2 167 76 334 38 512 16 rbch k18f 4 160 155 326 76 1k 16 rbcm k18f 8 316 155 64 20 rbeb k1ab 29550 190 25 128 20 rbed k1ab 487 103 178 50 356 25 256 20 rbef k1ab 8 167 103 343 50 512 20 rbeh k1ab 16 326 103 16 32 rbh7 k147 835 105 71 51 150 24 299 12 32 32 rbh9 k149 851 113 104 55 220 26 440 13 64 32 rbhb k18b 48987 183 42 365 21 128 32 rbhd k14d 880 185 163 91 337 44 256 32 rbhf k18f 4 161 155 328 76 512 32 rbhh k18f 8 317 155 64 40 rbkb k1ab 489 103 183 50 365 25 128 40 rbkd k1ab 8 169 103 348 50 256 40 rbkf k18f 5 317 117 487 76 512 40 rbkh k18f 10 474 155 626 117
41 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (4/8) (d) single-port ram (50% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 rb47 k147 16712 32 4 rb49 k149 18313 64 4 rb4b k149 26826 135 13 128 4 rb4d k14d 1 104 22 256 4 rb4f k14d 29344 186 22 512 4 rb4h k14d 48391 172 44 344 22 1k 4 rb4m k14d 880 185 162 91 334 44 2k 4 rb4s k14d 16 156 185 156 91 16 8 rb87 k147 25124 101 12 32 8 rb89 k149 26826 136 13 64 8 rb8b k18b 1 109 21 128 8 rb8d k14d 29344 186 22 256 8 rb8f k18f 1 175 38 512 8 rb8h k18f 2 168 76 336 38 1k 8 rb8m k18f 4 160 155 326 76 64 10 rbab k1ab 1 107 25 128 10 rbad k1ab 29650 191 25 256 10 rbaf k1ab 487 103 178 50 356 25 512 10 rbah k1ab 8 167 103 344 50 16 16 rbc7 k147 4415 1862417112 32 16 rbc9 k149 45855 121 26 242 13 64 16 rbcb k18b 29942 197 21 128 16 rbcd k14d 48591 176 44 351 22 256 16 rbcf k18f 2 169 76 337 38 512 16 rbch k18f 4 161 155 328 76 1k 16 rbcm k18f 8 317 155 64 20 rbeb k1ab 29850 196 25 128 20 rbed k1ab 488 103 181 50 362 25 256 20 rbef k1ab 8 168 103 347 50 512 20 rbeh k1ab 16 328 103 16 32 rbh7 k147 836 105 74 51 156 24 312 12 32 32 rbh9 k149 852 113 107 55 226 26 452 13 64 32 rbhb k18b 49187 187 42 373 21 128 32 rbhd k14d 881 185 165 91 341 44 256 32 rbhf k18f 4 162 155 330 76 512 32 rbhh k18f 8 318 155 64 40 rbkb k1ab 491 103 187 50 373 25 128 40 rbkd k1ab 8 171 103 353 50 256 40 rbkf k18f 5 318 117 490 76 512 40 rbkh k18f 10 474 155 628 117
42 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (5/8) (e) dual-port ram (75% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 r947 k247 16313 32 4 r949 k249 18515 64 4 r94b k249 27630 151 15 128 4 r94d k24d 1 129 24 256 4 r94f k24d 2 121 48 242 24 512 4 r94h k24d 4 113 99 233 48 465 24 16 8 r987 k247 25626 111 13 32 8 r989 k249 27530 150 15 64 8 r98b k28b 1 131 24 128 8 r98d k24d 2 121 48 242 24 256 8 r98f k28f 1 235 41 64 10 r9ab k2ab 1 129 28 128 10 r9ad k2ab 2 122 56 244 28 16 16 r9c7 k247 4445 5932618613 32 16 r9c9 k249 46763 141 30 281 15 64 16 r9cb k28b 2 124 48 247 24 128 16 r9cd k24d 4 114 99 235 48 469 24 256 16 r9cf k28f 2 230 82 460 41 64 20 r9eb k2ab 2 123 56 246 28 128 20 r9ed k2ab 4 116 115 237 56 474 28 16 32 r9h7 k247 841 113 83 55 175 26 349 13 32 32 r9h9 k249 863 129 129 63 271 30 541 15 64 32 r9hb k28b 4 117 99 240 48 480 24 64 40 r9kb k2ab 4 117 115 240 56 480 28
43 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (6/8) (f) dual-port ram (70% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 r947 k247 16513 32 4 r949 k249 18715 64 4 r94b k249 27730 153 15 128 4 r94d k24d 1 130 24 256 4 r94f k24d 2 122 48 243 24 512 4 r94h k24d 4 113 99 234 48 467 24 16 8 r987 k247 25326 105 13 32 8 r989 k249 27630 152 15 64 8 r98b k28b 1 132 24 128 8 r98d k24d 2 122 48 244 24 256 8 r98f k28f 1 236 41 64 10 r9ab k2ab 1 130 28 128 10 r9ad k2ab 2 123 56 246 28 16 16 r9c7 k247 4455 5952618913 32 16 r9c9 k249 46863 142 30 283 15 64 16 r9cb k28b 2 125 48 249 24 128 16 r9cd k24d 4 114 99 235 48 470 24 256 16 r9cf k28f 2 230 82 460 41 64 20 r9eb k2ab 2 124 56 248 28 128 20 r9ed k2ab 4 116 115 238 56 476 28 16 32 r9h7 k247 841 113 84 55 177 26 353 13 32 32 r9h9 k249 864 129 130 63 272 30 543 15 64 32 r9hb k28b 4 117 99 241 48 482 24 64 40 r9kb k2ab 4 118 115 241 56 482 28
44 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (7/8) (g) dual-port ram (60% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 r947 k247 16913 32 4 r949 k249 19115 64 4 r94b k249 27930 157 15 128 4 r94d k24d 1 133 24 256 4 r94f k24d 2 123 48 246 24 512 4 r94h k24d 4 114 99 235 48 470 24 16 8 r987 k247 25626 111 13 32 8 r989 k249 27830 156 15 64 8 r98b k28b 1 135 24 128 8 r98d k24d 2 124 48 247 24 256 8 r98f k28f 1 238 41 64 10 r9ab k2ab 1 133 28 128 10 r9ad k2ab 2 125 56 249 28 16 16 r9c7 k247 4475 5982619613 32 16 r9c9 k249 46963 144 30 288 15 64 16 r9cb k28b 2 127 48 253 24 128 16 r9cd k24d 4 115 99 237 48 474 24 256 16 r9cf k28f 2 231 82 462 41 64 20 r9eb k2ab 2 126 56 251 28 128 20 r9ed k2ab 4 117 115 240 56 480 28 16 32 r9h7 k247 842 113 86 55 182 26 363 13 32 32 r9h9 k249 864 129 131 63 275 30 550 15 64 32 r9hb k28b 4 118 99 244 48 487 24 64 40 r9kb k2ab 4 119 115 244 56 487 28
45 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm ta bl e 2-5. occupied cell ranges (8/8) (h) dual-port ram (50% cell utilization) w ords bits soft macro basic macro q?y cell ranges occupied by ram ram t ype a type b type c type d xyx yxyxy 16 4 r947 k247 17513 32 4 r949 k249 19615 64 4 r94b k249 28230 163 15 128 4 r94d k24d 1 137 24 256 4 r94f k24d 2 126 48 251 24 512 4 r94h k24d 4 115 99 237 48 474 24 16 8 r987 k247 25926 118 13 32 8 r989 k249 28130 162 15 64 8 r98b k28b 1 139 24 128 8 r98d k24d 2 126 48 251 24 256 8 r98f k28f 1 240 41 64 10 r9ab k2ab 1 137 28 128 10 r9ad k2ab 2 127 56 253 28 16 16 r9c7 k247 44955 103 26 205 13 32 16 r9c9 k249 47163 148 30 296 15 64 16 r9cb k28b 2 129 48 258 24 128 16 r9cd k24d 4 117 99 240 48 479 24 256 16 r9cf k28f 2 233 82 465 41 64 20 r9eb k2ab 2 128 56 256 28 128 20 r9ed k2ab 4 119 115 243 56 485 28 16 32 r9h7 k247 844 113 89 55 188 26 376 13 32 32 r9h9 k249 865 129 133 63 280 30 559 15 64 32 r9hb k28b 4 120 99 247 48 494 24 64 40 r9kb k2ab 4 121 115 247 56 494 28
46 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.1.4 notes on mounting large-scale macros (memory) the following points must be noted when mounting large-scale macros. external pin placement block type used for circuits other than macros (1) external pin placement place related external pins close to macros if two or more large-scale macros are mounted. if no consideration is given to pin placement, the routing of external pins may be long and routing channels wasted. as a result, routing may not be completed. when mounting two or more large-scale macros, contact nec electronics for the macro placement method. (2) block type used for circuits other than macros when the number of cells that can be used for logic is reduced because of large-scale macros, medium-scale macros, such as 8-bit latches, may not fit in the available space. (3) mountability based on power supply voltage megamacros and memory macros cannot be used with a power supply voltage specification of 3.3 v or 3.0 v. 2.2 verifying power consumption although cmos gate arrays are of a low power consumption type, a considerable amount of power is consumed when they are operated at speeds greater than 30 mhz. the temperature of the lsi increases with the amount of power used. the reliability of the product is not guaranteed if the temperature increases beyond the maximum values specified here, therefore it is necessary to hold the power consumption of the lsi below these maximum values. the maximum power consumption limit varies depending on the package type. to improve the allowable power consumption, special qfp packages with low thermal resistance heat spreaders are provided. figure 2-5 shows the relationship between the qfp type and the allowable power consumption. for detailed data, see 4.3 power consumption . figure 2-5. allowable power consumption vs. qfp type low thermal resistance type heat spreader standard product high low allowable power consumption
47 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.3 pin placement the positions of the package power supply pins and nc pins are predetermined. the points noted below must be considered in determining the pin layout (pin placement). there are cases where the power requirement will increase, depending on the results of in v estigating items such as the n umber of sim ultaneously oper ating output pins . f or details, see 4.6 restrictions to simultaneous operation of output buffers . 2.3.1 notes on pin layout (1) clock pins, control (set, reset) pins because these pins are subject to noise, they must be placed close to ground (gnd) pins. (2) output pins because output pins are subject to clock pin noise, they should be isolated as much as possible. if a large group of output pins has many simultaneously operating pins, the group should be surrounded by v dd and gnd pins. (3) no connection (nc) pins when a gate array is mounted on a printed circuit board, do not use an nc pin as a signal relay pin. some nc pins are actually connected to the pads of the chip. connect the nc pins to ground (gnd) or v dd when mounting on a printed circuit board. (4) scan path i/o pins the placement of test pins for each package is predetermined. if scan path is used, be sure to specify scan path i/o pins for the specified pin number. f or details, see nec system lsi design design for test users manual . (5) placing oscillator fo r details of the positions at which an oscillator can be placed, see the tables concerning pins that can be used for oscillators in cmos gate array, embedded array package design manual (a16400e) . do not place pins that may malfunction when noise is superimposed on them (such as a reset pin) in the vicinity of the oscillator.
48 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.4 i/o interface 2.4.1 input blocks signal level function input format pull-up/pull-down resistor cmos buffer normal no resistor ttl fail safe schmitt trigger with 50 k ? pull-up resistor with 5 k ? pull-up resistor with 50 k ? pull-down resistor signal level function input format l vttl oscillator special there are two types of input interface blocks: <1> cmos level interface block this block connects to the current cmos lsi. blocks with fail-safe functions are also available. a block with a fail-safe function has a protection function against over voltage. there is no continuity to the gate array power supply when the gate array power supply voltage is in the off state, even if a signal is applied. <2> ttl level interface block this block connects to the current ttl lsi. blocks with fail-safe functions are available in this type as well.
49 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.4.2 output blocks signal level function output format pull-up/pull-down resistor load drive capability i ol cmos buffer normal no resistor 3-state low noise with 50 k ? pull-up resistor open-drain with 5 k ? pull-up resistor with 50 k ? pull-down resistor signal level function output format cmos oscillator special a cmos-level interface block is available as an output interface-level block. this block connects to the current cmos lsi, and outputs voltages of the same level as the power supply voltage. in addition, an n-ch open-drain block with a fail-safe function is also available. this block is provided with a function that protects against over voltage, ensuring there is no conduction to the power supply of the gate array even if signals are input when the power supply v oltage of gate array is off. however, as with the current n-ch open-drain block, this block cannot be clamped with a voltage higher than that of the power supply voltage. an oscillator and a low noise buffer for reducing noise generation are also available. in a cmos circuit, if an input signal is in a state whereby the level of input is unstable (floating level), excessive through current will flow, and a noise signal will be input into the circuit, resulting in malfunction. a buffer with a pull- up or pull-down resistor must be used for pins that may be open on the substrate. in the cmos-n5 series, 5 k ? pull- up resistors are also available for ttl-type bus line. 3.0 ma 6.0 ma 9.0 ma 12.0 ma 18.0 ma 24.0 ma
50 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.5 development flow the following figure shows the development flow of a cmos gate array. flow 1: development procedure and interfacing nec electronics user tools, materials system planning logic design circuit design sign-off simulation 1 circuit creation logic synthesis test pattern creation sign-off simulation actual wiring simulation actual wiring simulation/ simulation result check circuit creation logic synthesis test pattern creation simulation 2 es creation cs creation mass production delivery circuit diagram level interface simulation level interface es evaluation cs evaluation pamphlets/ data sheets teg note design manuals block libraries macro libraries opencad general-purpose ews interface tools interface manuals 1 2 when using nec electronics' sign-off simulator, placement and routing is performed immediately after user confirmation. if another simulator is used, simulation will be executed again by nec electronics. placement and routing note only when necessary.
51 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm nec electronics user logical design (circuit design) (test pattern design) data input simulation results mask creation for routing lsi prototype inspection evaluation sample (es) specifications finalized mass production evaluation sample (cs) evaluation evaluation lsi prototype inspection for shipment mass production ng ng ok ng ok product planning functional specification ok flow 2: development flow
52 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm circuit creation design rule check gatedrc sta circuit configuration check stadrc note pattern rule check sta delay simulation test pattern creation timing verification placement and routing ic test function verification test pattern verification interface flow 3: front-end detailed flow note stadrc is only required when sign-off performed by sta.
53 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.6 opencad configuration tools the following tools can be selected in accordance with the user environment. cautions 1. refer to the users manuals in the opencad series for the latest versions of the opencad configuration tools. 2. some functions may not be supported, so check before using opencad. function nec electronics tools i/f data commercial tools function simulator netlist modelsim /verilog-xl/ pwc/ nc-verilog/vcs schematic editor vdraw edif (2.0.0)/ logic synthesis ve r ilog hdl design compiler gate-level simulator note 1 v .sim ? est pattern modelsim/verilog-xl/nc-verilog/vcs fo r mal verifier alba fo r mality /tuxedo-lec/conformal-lec sta note 1 tiara delay data file primetime f ault simulator note 2 c .fgrade design for test nec_scan2/ constraint file dftcompiler nec_bscan/nec_bist/ /tetramax testbus floorplanner note 3 cbic : ace_floorplan ga : galet placement and routing note 3 galet silicon ensemble notes 1. sign-off tool 2. t ool not supported in hp version 3. t ool supported individually remark platform: sun (solaris)/hp (hp-ux) gui: x11r5/motif1, 2
54 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm gate array development is a cooperative effort by the user and nec electronics. the user is responsible for the steps from system and circuit design through simulation. nec electronics is responsible for providing design information, supporting the user in designing the circuit, and the steps after simulation. the transfer of development work from the user to nec electronics is called interfacing. the interface level is divided into the following two depending on what data is to be provided from the user to nec electronics. (1) circuit diagram level interface a circuit diagram designed with 74ls or nec electronics gate array blocks is submitted to nec electronics and nec electronics performs the steps after circuit simulation. nec electronics will provide the user with the results of design rule checking and circuit simulation, which must be confirmed and approved by the user. (2) simulation level interface the user performs circuit design and simulation work using various ews (engineering work stations) and cad system simulators, and nec electronics takes over the rest of the development work (such as automatic placement and routing and final simulation). at either interface level, the user may consult nec electronics about items nec electronics has provided, as well as which tools are presently available.
55 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm 2.7 list of interface data embedded array and cell-based ic descriptions should be disregarded when referencing this list. caution there may be changes to the data depending on the edition of opencad, so be sure to contact nec electronics before commencing design. file type and name simulator v .sim verilog netlist pwc (.pwc) note 3 (t1) note 3 (t1) ve r ilog hdl (.v) note 3 (t1) edif (.edif) note 3 (t1) note 3 (t1) pin dif (.dif) (t1) (t1) assign emc cb10, ea10 or emc check file (.emc) check later cross cb10 or later pcs file (.pcs) t alk (except ea10) test without i/o albatross (.alb) note 4 (t3) note 6 (t3) p attern modulation specification note 1 with i/o albatross (.alb) note 2 note 5 (t3) note 7 (t3) modulation specification note 1 macro ram bist rampin file (.rpi) bist separation file (.bist.scn) (t1) (t1) (when used with scan) ro m nincf (.nin) (t3) (t3) r om.cmd (t3) (t3) mega macro megamacro unit test specifications initial pattern digital pll initial pattern dft bscan scan+bscan initial pattern (scan.init.alb) set file (.set) (t1) (t1) circuit verification pattern (.bspat.alb) order file (.bsorder) (t1) (t1) bsdl file (.bsdl) (t1) (t1) scan user macro separation file (t1) (t1) pin location file other critical tiara command file (t2) (t2) pat h critical path guidelines (paper) (t2) (t2) floorplan floorplan specification document note 8 (t1) note 9 (t1) (paper) def file (.floorplan.def) note 8 (t1) note 9 (t1) cts cts check report (.rpt) (t1) (t1) rev.1.8 12 dec 2000
56 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm file type and name simulator v .sim verilog check netlist rule (.gatedrc) (t1) (t1) result file check alb check (.albchk) note 10 (t3) note 11 (t3) pin check ?o err screen copy (t1) (t1) scan check (.scanchk) (t1) (t1) bscan check (.bscanchk) (t1) (t1) sim result .slg .tpe .iomoduchk .log .bus .ovprd .iochk .trcpr min. & max. dc test pattern (up to 32 k patterns) note 12 note 12 note 12 note 15 note 15 note 15 note 15 note 15 (fraction of function test pattern note 13 note 13 note 13 note 16 note 16 note 16 note 16 note 16 pattern number) high-speed function test pattern note 14 note 14 note 14 note 17 note 17 note 17 note 17 note 17 testact dft database (dft_db) file dft pin (dft-set) location file t est bus testbus.cpt connection check pattern bscan circuit bspat.cpt ve r ification pattern rev.1.8 12 dec 2000 notes 1. there must be a description of i/o modulation in the timing of albatross. 2. refers to input modulation and high-speed function test. 3 to 11. select one for each. 12 and 14 or 15 and 17. required if high-speed function test is requested. 12 and 13 or 15 and 16. required if test patterns exceed 32 k. remarks 1. : required, : when necessary 2. (t1): when test run is requested (p&r sdf) (t2): when test run is requested ((t1) critical path confirmation) (t3): when test run is requested ((t1) simulation)
57 design manual a13826ej7v0dm chapter 2 implementing the system using the gate array 2.8 asic product development information an example and the method for describing information related to asic product development is included here (as a checksheet). check (black out) the appropriate box. for items with only one box, check the box if your results agree with the statement. example there were no errors ................................................................................................. checked (blacked out) means that there were no errors. unchecked (left white) means that there were errors. cautions 1. be sure to check with nec electronics that the asic product development information (checksheet) y ou are planning to use is the latest version. do not use this description example for actual interfacing. 2. the asic product development information in this document includes descriptions for other series since this information is a common example for gate array products. 3. the asic product development information described here is provided to enable understanding of what kind of items should be checked, and is not intended to provide release-related information.
58 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample <5> design rule check results (gatedrc) <6> delay simulation results, including timing check results. be sure to submit both min and max in the result file. <7> simulation result check document (before placement and routing) <8> command file (when path analysis is required) t ool used: tiara file name: top.scr 2.8.1 asic product development information (checksheet) 1. part number pd6 5944gm xxx jed 2. company nec corporation department gate array development department contact details tel +81-3-xxxx-xxxx name of contact n.e.c.smith fax +81-3-xxxx-xxxx 3. specific information <1> requested delivery date es: 2003 y ear 6 month 20 day 10 number <2> application pe r sonal computer <3> interface level customer to distributor: c2 , c2 distributor to d.c: c2 , c2 <4> design tool to be used customer: opencad ver 5.4 distributor: opencad ver 5.4 <5> hardware to be used customer: sparcstation distributor: sparcstation <6> package 160 pin qfp <7> any special requirements? no ye s (request no.: sbe-xxx-0001 division answer date: april 15, 2003) in case of special request, please submit a special request form including division answer as the interface document. <8> special signature no ye s 4. interface materials the materials required when interfacing are listed below. nec distributor note nec sales department note distributor: distributor, ltd. sales department: 1 st sales dept. name of contact: d .smith name of contact: s.d.smith tel: +81-3-xxxx-xxxx tel: +81-3-xxxx-xxxx f ax: +81-3-xxxx-xxxx f ax: +81-3-xxxx-xxxx note the names of the nec distributor and sales department must be include <1> dif(pin layout information) <2> netlist data <3> test pattern data <4> timing file dif file name: top.dif netlist file name: top.pwc date: 2003 y ear 4 month 10 day date: 2003 y ear 4 month 10 day pwc edif ve r iloghdl vhdl alb medium: fd dat cgmt 8 mm tape network other ( ) fo r mat: ews pc date: 2003 y ear 4 month 10 day date: 2003 y ear 4 month 10 day date: 2003 y ear 4 month 10 day v .sim for v.sim, be sure to submit the pattern number fraction .slg/.tpe/.iomoduchk/.dpmodechk (when dpll is incorporated) ve r ilog for ver ilog, be sure to submit the pattern number fraction verilog.log/.bus/.ovprd/.tpe/.iomoduchk/.dpmodechk (when dpll is incorporated) model-s for model-s , be sure to submit the pattern number fraction .*_report/.bus*/.dop/.tpe/.iomoduchk/.dpmodechk (when dpll is incorporated) vcs f or vcs, be sure to submit the pattern number fraction .vcs.log/.bus/.ovprd/.tpe/.iomoduchk/.dpmodechk (when dpll is incorporated) <9> name of net from clock input pin to cts block (there is an adjacent placement prohibition specification, so only required for cmos-10hd) net name: or file name: net0001 or cts.net
59 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 1. enter the product name. 2. enter the company, department, name of contact, and contact details. 3. enter the following information. <1> requested es delivery date and number of samples <2> application <3> interface level. <4> design tool to be used and its version <5> hardware to be used <6> package pin count and type <7> select either the [yes] or [no] check box for special request, and if [yes] is selected, enter the request number and the division answer date. <8> whether there is a special signature 4. enter the file name and date for the following materials which are to be submitted when interfacing. resubmit these materials whene ver data is modified. <1> enter the dif file name and creation date. <2> enter the netlist data file name, data type, medium, format, and the creation date. <3> since the only test pattern data type is alb, nothing need be entered for this item. <4> there is no timing file, so this is not applicable (this information is included in alb). <5> enter the date that gatedrc was executed with the final netlist data. <6> indicate the simulator type. <7> enter the date the simulation result check document was created. <8> if performing path analysis, enter the analysis tool name, script file name, and script file creation date. <9> name of net from clock input pin to cts block or file name. this is required as there is an adjacent placement prohibition specification in cmos-10hd. remark enter the file name for <3> in the table under (b) test pattern information in (23) test pattern. f or c3, confirm the necessary files later. enter the name of the nec distributor and nec sales department.
60 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 5. product details (c2-level form) please fill out the following. this data will be used for designing lsis and creating delivery specifications. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. (1) are there any additional power supplies? .............................................................................. yes no additional v dd 1 additional gnd 2 additional v d(d)5 3 (for other than cmos-10hd) additional v d1 1 additional v d2 0 additional gnd 2 (for cmos-10hd) (2) is there an oscillator block? .................................................................................................... yes no block name: os11, os07, f093 f requency: 20 mhz oscillation input pin ( cin ) pin no. ( 110 ) oscillation output pin ( cen ) pin no. ( 112 ) oscillation enable input pin ( cout ) pin no. ( 111 ) if there is an oscillator block, an oscillation stop function is included .................................... in the case of yes, for all user patterns, do not make the oscillation input signal the rz signal and do not set the expected value ? (undefined) for the oscillation output signal; fix the input of the stop control pin to 0. (3) conditions (a) simulation cmos_1.8 v cmos_2.5 v cmos_3 v cmos_3.3 v cmos_5 v ttl_3.3 v ttl_5 v (b) electrical specifications t a ?0 to 85 c 0 to 70 c other ( ) v dd 1.8 v 0.15 v 3.3 v 0.3 v 3.3 v 0.165 v 5 v 10% 5 v 5% other ( ) (4) operating frequency input fmax. pin namae clkin fmax. 66 mhz duty 50 10 % output fmax. pin name da t (15:0) fmax. 33 mhz output load capacitance 50 pf output minimum pulse width pin name da t (15:0) pulse width 15.2 ns output load capacitance 50 pf direction pos neg output buffer external load capacitance is within the recommended range note ............................. (5) simultaneous operation 3 gnd pin determination method to tal chip level determination method simple determination method detailed determination method according to the determination results, simultaneous operation was satisfied note ................ (6) power consumption calculation result total power: 523 mw maximum allowable power consumption: 680 mw the powerconsumption is within the allowable range note .............................................................................. when executing a detailed calculation (p wl ), calculation is made with t j = 125 for t a = ?0 c to 85 c or t j = 100 for t a = 0 c to 75 c ((125 ? a(max) ja ) or (100 ?t a(max) ja ), t a(max) 40 c) ......................................................................................... (please answer when implementing detailed calculation (p wl ).) (7) x-propagation simulation was performed note ............................................................................................................... (8) there were no cts check result errors (for cts-mounted products only) note ..................... no cts when cts and a digital pll are incorporated, submit the .rpt file. are there no more than 4 cts systems? (cmos-10hd only. excluding cts for scan) ... if there are more, contact nec electronics (submit a special request). (9) there were no timing errors ......................................................................................................... make checks based on the simulation result check document. if the x-propagation simulation item checkbox was unchecked, simulation will be performed with no effect on the output pins even if a timing error occurs, so be sure to execute simulation. if there is a timing error, it will be necessary to modify the circuits and the test pattern to av oid the output of such an error. note that although it is possible to accept only pseudo-errors, whose contents do not affect the output, it is anticipated that test bugs may be caused by check omissions. bear in mind that in such cases, nec electronics may require users to investigate the origin of these bugs.
61 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 5. enter the following information. (1) indicate whether there are any additional power supplies, and if yes, the number of additional v dd or gnd pins. the category is divided into either cmos-10hd and other than cmos-10hd. please enter the answer in the correct category. (2) indicate whether there is an oscillator block, and if yes, the name, frequency, and input/output/enable pin names and numbers. in the case of yes, also indicate whether an oscillation stop function is included. f or all user patterns, do not make the oscillation input signal the rz signal and do not set the expected value ? (undefined) for the oscillation output signal. fix the input of the stop control pin to 0. (3) indicate the type of simulation, t a (temperature range), and v dd (supply voltage range). (4) enter the operating frequency. input fmax. enter the name of the pin at which the maximum operating frequency is input, the frequency, and the duty ra tio. output fmax. enter the name of the pin at which the maximum operating frequency is output, the frequency, and the load capacitance. output minimum pulse width enter the name of the pin at which the maximum operating frequency is output, the minimum pulse width, and the load capacitance, and indicate whether the minimum pulse width is pos or neg. check and indicate whether the output buffer external load capacitance is within the recommended range. the following restrictions apply for the maximum operating frequency. cmos-10hd: 66 mhz (1.8 v), 133 mhz (2.5 v) cmos-9hd, ea-9hd: 100 mhz cmos-n5: 60 mhz (5 v), 33 mhz (3.3 v) , 25 mhz (3 v) other series: fmax. of output buffer (5) check and indicate which determination method: 3 gnd pin determination or total chip level simple determination/ detailed determination was used for simultaneous operation. check and indicate whether simultaneous operation is satisfied according to the determination results. if it is not satisfied, list countermeasures. (6) calculate the power consumption using the design manual and enter the result. also enter the maximum allowable power consumption of the package, based on the design manual, and indicate whether it is within the allowable range. if it is out of range, list countermeasures. f or a detailed calculation, execute the calculation with the t j v alue corresponding to t a . t a = ?0 c to 85 cp wl =125 ?t a(max) ja condition: t a (max.) 40 c t a = 0 c to 75 cp wl = (100 ?t a(max) ja ) condition: t a (max.) 40 c (7) indicate whether x-propagation simulation was performed when executing simulation. note that x-propagation simulation must be performed. if it was not performed, give reasons. (8) for cts-incorporated products, execute cts check and describe the confirmed results. ? or cmos-10hd, check that there are no more than 4 cts systems (excluding cts for scan). (9) based on the simulation result check document, indicate whether there were any timing errors.
62 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (10) when bidirectional pins are used, there is no circuit designed in such a way that these signals enter the clock directly after the signals are re-input internally via a bidirectional pin input buffer in output mode ........................................................................................................................... no bidirectional pin if this kind of circuit configuration exists, depending on the ringing, the internal circuit that receives these input signals may malfunction, causing testing problems. this is especially the case if these input signals directly enter the clock, so users are advised to take countermeasures in line with the specifications in the design manual. if this box is unchecked, countermeasures must be taken, and these measures must be checked and approved by nec electronics via a special request, etc. (11) for cmos-6, 6a, 6s, 6v, 6x, 8: is a ttl18 ma type output buffer used? f or cmos-8l, 5 v interface: is an 18 ma, 24 ma type output buffer used [2-cell configuration]? ..................................... no yes (12) is there a ram block? ............................................................................................................. no yes in the case of yes, please fill out 2.8.2 ram block . (13) is there a rom block? ............................................................................................................. no yes in the case of yes, please fill out 2.8.3 rom . (14) is there a high-speed function test? ....................................................................................... no yes in the case of yes, please fill out 2.8.4 high-speed function test . (15) is there a gtl, pecl, hstl, pci, or lvds block? .............................................................. no yes ? n the case of yes, please fill out 2.8.5 gtl, pecl, hstl, pci, lvds block . (16) is there a digital pll? ............................................................................................................. no yes in the case of yes, please fill out 2.8.6 dpll block . (17) is there a megamacro? ........................................................................................................... no yes in the case of yes, please fill out 2.8.7 megamacro . (18) use for both scan path and boundary scan ........................................................................... no yes ? n the case of yes, enter information regarding 2.8.8 alternate use as scan path and boundary scan. (19) is a scan path (scan) used? ................................................................................................. no yes in the case of yes, please fill out 2.8.9 scan path . however, during alternate use as bscan, nothing need be entered. (20) is a boundary scan (bscan) used? ...................................................................................... no yes in the case of yes, please fill out 2.8.10 boundary scan . however, during alternate use as scan, nothing need be entered. (21) for ea-9hd, is a bus folder (f098) used for all internal 3-state output pins? ..................... yes no in the case of no, did you check beforehand with the person in charge of the ea-9hd series in nec electronics whether the bus floating prevention circuit is properly configured and received ok? ............................................................................................................................ ........... (22) is an internal 3-state output block used (except ea-9hd)? .................................................. no yes in the case of yes, it has been confirmed that the bus floating prevention circuit has the correct configuration ....................................................................................
63 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (10) based on the design manual, confirm that there is no such circuit configuration. if there is such a circuit configuration, the fact that countermeasures have been taken in line with the measures recommended in the design manual must be checked and approval given by nec electronics via a special request, etc., before interfacing. (11) indicate whether there is an output buffer with a 2-cell configuration. (12) indicate whether there is a ram block. (13) indicate whether there is a rom block. (14) indicate whether there is a high-speed function test. (15) indicate whether there is a gtl, pecl, hstl, pci, or lvds block. (16) indicate whether there is a digital pll. (17) indicate whether there is a megamacro. (18) select whether or not alternate use for both scan path and boundary scan. (19) indicate whether a scan path (scan) is used. (20) indicate whether a boundary scan (bsacn) is used. (21) indicate whether a bus folder (f098) is used (ea-9hd only). in the case of yes, confirm that a bus folder (f098) is used for all internal 3-state output pins. if [no] is selected, check beforehand with the person in charge of the ea-9hd series in nec electronics whether the bus floating prevention circuit is properly configured and receive ok. (22) indicate whether there is an internal 3-state output block (for other than ea-9hd). in the case of yes, confirm that the bus floating prevention circuit has the correct configuration. if the prevention circuit does not have the correct configuration, through current i dd becomes abnormal when selecting the tester. be careful because this problem cannot be detected by the tool. note that because (11) to (20) in the page indicated on the left are examples, yes has been marked for all entries.
64 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (23) test pattern: (a) the following restrictions have been satisfied note 1 .......................................................................................................... [cmos-n5, 9hd, 10hd, ea] [cmos-8l] (opencad v5.4 or earlier) [cmos-6, 6a, 6s, 6v, 6x, 8, 9] (opencad v5.3 or earlier) notes 1 . if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. 2. the number of dc patterns is 32k max. for all, except for 257 or more pins of ea-9hd. if the dc patter n e xceeds 32k, the patter ns f or dc measurement are up to 32k. therefore, create patterns so that dc measurement can be detected within 32k insofar as possible. the pattern submitted to nec electronics can also be a single pattern exceeding 32k. example: in the case of a 56k dc pattern, the pattern for dc measurement is 1 to 32k. therefore, even if there are signal changes for 32001-56k, this is not reflected to dc measurement. pa tterns after 32k are handled as lft (same as 1 to 56k lft pattern). in the case of 257 or more pins in ea-9hd, the number of dc patterns is 64k max. if the dc patter ns e xceed 64k, the patter ns f or dc measurement are up to 64k. therefore, create patterns so that dc measurement can be detected within 64k insofar as possible. the pattern submitted to nec electronics can also be a single pattern exceeding 64k. moreover, the number of patterns for (each) high-speed function test is 32k max. regardless of the series and pin count. number of pins less than 145 pins 145 pins or more minimum number of t est patterns per pin (patterns for dc measurement only) 150 patterns t otal number of test patterns 128 k patterns (with scan) note 2 256 k patterns (without scan) note 2 512 k patterns note 2
65 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (23) enter the following information about the test patterns. (a) indicate that the restrictions regarding the number of test patterns have been satisfied. if they are not, check with nec electronics as the tester restrictions may be unsupportable. the restriction on the minimum number of test patterns per pin only applies to patterns for dc measurement. remark the number of dc patterns is a single pattern of 32k max., except for 257 or more pins of ea- 9hd. if the dc pattern exceeds 32k, the pattern check is up to 32k. therefore, create patterns so that dc measurement can be detected within 32k insofar as possible. the pattern submitted to nec electronics can also be a single pattern exceeding 32k. example in the case of a 56k dc pattern, the pattern for dc measurement is 1 to 32k. therefore, even if there are signal changes for 32001-56k, this is not reflected to dc measurement. pa tterns after 32k are handled as lft (same as 1 to 56k lft pattern). in the case of 257 or more pins in ea-9hd, the number of dc patterns is a single one of 64k max. if the dc patterns exceed 64k, pattern check is up to 64k. therefore, create patterns so that dc measurement can be detected within 64k insofar as possible. the pattern submitted to nec electronics can also be a single pattern exceeding 64k. moreover, the number of patterns for (each) high-speed function test is 32k max. regardless of the series and pin count. p attern check up to opencad v5.3 and patter check from opencad v.5.4 or later differ. logpat alb alb only up to opencad v5.3 f rom opencad v5.4 or later cptchk cptchk, albchk albchk
66 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (b) test pattern information <1> please enter test pattern information in the following table (for opencad v5.3 or earlier). notes 1. input the dc pattern in this column. in case of alternate use with lft pattern, marks in lft column are not required. if the pattern period is not 200 ns, enter the pattern period and reason f or change below: p attern period: 300 ns reason for change: because the max dela y is 280 ns 2. check the high-speed column for high-speed function test patterns. 3. set the bscan pattern period to 300 ns or more. 4. check these columns for the setting pattern and initialization pattern. remarks 1. the number of patterns is dc + lft + high-speed + bscan + dpll + megamacro, totaling no more than 20. 2. f or the albatross interface, enter the albatross file name under file name in the t est pattern data column. if there is an i/o modulation specification, enter the strobe file name for the timing data file name. place a dash in this column if there is no i/o modulation specification. t est pattern data file name dc.nlp lft1.nlp lft2.nlp fct.nlp check one timing data file name dc.alb lft.alb lft.alb fct.alb number of p atterns 20,000 10,000 10,000 20,000 p attern period(t) strobe position(st) t = 200 ns st = 199.99 ns t = st = t = st = t = 300.00 ns st = 299.99 ns t = st = t = st = t = st = t = st = t = st = t = st = dc note 1 lft high- speed note 2 bscan note 3 dpll note 4 mega- macro note 4 scan note 4
67 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (b) test pattern information <1> enter test pattern information as shown below (for opencad v5.3 or earlier) enter the file name and number of patterns for all test pattern data, and check the column corresponding to the pattern data type. if the pattern period and strobe position are other than 200 ns and 199.99 ns, respectively, enter the actual values. if there is an i/o timing specification, also enter the name of the timing data file. place a dash (?? if there is no i/o timing specification. be sure to enter the dc pattern in the fir st r o w , and if its pattern period is not 200 ns, enter the pattern period and the reason f or the c hang e in the column indicated b y note 1. f or the albatross interface, enter the albatross file name for the test pattern data file name, and enter ? in the timing data file name column because the timing data is not necessary when i/o modulation is not specified. note that the number of patterns, i.e. dc + lft + high-speed function test + bscan + dpll, is 20 max., including mega macro initialization patterns. regarding the mega macro initialization patterns, timing specification is prohibited, so enter ? in the timing data file name column. resubmit this inf ormation whene ver data is modified.
68 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample <2> please enter test pattern information in the following table (for opencad v5.4 or later). notes 1. input the dc pattern in this column. in case of alternate use with lft pattern, marks in lft column are not required. if the pattern period is not 200 ns, enter the pattern period and reason f or change below: p attern period: 300 ns reason for change: because the max dela y is 280 ns 2. check the high-speed column for high-speed function test patterns. 3. set the bscan pattern period to 300 ns or more. 4. check these columns for the setting pattern and initialization pattern. remark the number of patterns is dc + lft + high-speed + bscan + dpll + megamacro, totaling no more than 20. t est pattern data file name dc.nlp lft1.nlp lft2.nlp fct.nlp check one number of p atterns 20,000 10,000 10,000 20,000 p attern period(t) strobe position(st) t = 200 ns st = 199.99 ns t = st = t = st = t = 300.00 ns st = 299.99 ns t = st = t = st = t = st = t = st = t = st = t = st = dc note 1 lft high- speed note 2 bscan note 3 dpll note 4 mega- macro note 4 scan note 4
69 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample <2> enter test pattern information as shown below (for opencad v5.4 or later) enter the file name and number of patterns for all test pattern data, and check the column corresponding to the pattern data type. if the pattern period and strobe position are other than 200 ns and 199.99 ns, respectively, enter the actual values. be sure to enter the dc pattern in the fir st r o w , and if its pattern period is not 200 ns, enter the pattern period and the reason f or the c hang e in the column indicated b y note 1. note that the number of patterns, i.e. dc + lft + high-speed function test + bscan + dpll, is 20 max., including mega macro initialization patterns. resubmit this inf ormation whene ver data is modified.
70 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (c) and (24) to (27) below are for opencad v5.3 or earlier, and (28) and (29) are for opencad v5.4 or later. (c) is there a timing specification? ......................................................................................................... if there isn?, <1> to <6> below do not need to be checked. <1> the specified number of timing phases is 6 or less, including the basic timing note .................... <2> the timing variation (time difference at the change point) of each phase is 10 ns or more note ............................................................................................................................... ........................................................ <3> the i/o switching of the bidirectional buffer is performed at the basic timing (not applicable when i/o modulation is used) note ............................................................................................... <4> there is no contention when the time change is identical for each timing phase note ................ <5> when there is an rz signal specification, the clock is not output directly to e xternal pins note ............................................................................................................................... ............................................ <6> when there is an rz signal specification, there are no parts in which i/o switching of the bidirectional buffer is performed by this signal (not applicable when i/o modulation is used) note ............................................................................................... (24) there are no errors in cptchk note ............................................................................................................................... ......................... bear in mind that because the tester cannot measure the level of pins at which an ?l or ?nput change error occurs in the dc pattern, defective products may be mixed in the samples at shipment. however, the above messages do not apply for the test pins of nec electronics that are not used alternately as user pins. f or the verilog products, be sure to correct the ?i-z input error to either ? or ?? (25) for the albatross interface, (a) albchk was executed note ............................................................................................................................... ....................................... if the above is checked, there were no errors in the albchk execution note ..................................................... be sure to provide the pattern number fraction .albchk file. bear in mind that because the tester cannot measure the level of pins at which an ?alue (/transition) is not appeared or ?on? care pin exist occurs in the dc pattern, defective products may be mixed in the samples at shipment. however, the above messages do not apply for the test pins of nec electronics that are not used alternately as user pins. (26) when i/o modulation is specified (a) it is confirmed that i/o modulation is not specified for 2 phases or more note .............................................. (b) there were no errors in the i/o modulation check note .................................................................................................. (c) ?albatross top cell name ver4.0 ; was described in the start line of the strobe alba file note ............................................................................................................................... ................................................................ be sure to provide the strobe alba file. (d) when clock or modulation is specified, it is reflected in the strobe alba note .............................................. be sure to provide the pattern number fraction .iomoduchk file. (27) when dpll is incorporated there were no errors in the dpll mode check note ................................................................................................................... please submit the file .dpmodechk, which contains all the patterns to be interfaced, to nec electronics. p atterns output by create dpll connection pattern used for checking the connection of a dpll do not have to be checked. however, be sure to check whether the initialization pattern input when the pattern for checking the connection of a dpll is created has an error or not. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures.
71 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (c) if there is a timing specification, indicate whether the number of timing phases is 6 or fewer, including the basic timing, whether the timing variation of each phase is at least 10 ns, whether the bidirectional b uffer i/o switching is carried out at the basic timing, and whether there is conflict when the time change is identical for each timing phase. <1> to <6> must all be checked. if i/o modulation is used, <3> and <6> are not applicable. (24) indicate whether there are any errors in cptchk, referring to the cptchk execution results. if there are errors, correct them in line with the contents of the box at the bottom of the page. (25) for the albatross interface, indicate whether albchk was executed, and if it is executed, whether any errors occurred. if it is not e x ecuted, list the reasons wh y it is not necessar y to e x ecute . (26) when an i/o modulation is specified, check items (a), (b), (c), and (d). moreover, these boxes must all be filled in. (27) when a dpll is incorporated, check that there are no errors in the dpll mode check of simulation. if the bo x is left unc hec ked, list the reasons wh y the err or(s) will cause no pr ob lem.
72 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (28) albatross (a) alba was created using create interface alba file of pattern utilities note ................................................ if so, it is confirmed that there are no problems with the test type of the created alba note ....... t est type = dc only for dc measurement patterns. (b) is there an i/o modulation specification? [must indicate] ................................................................ if there is, check <1> to <3> below. <1> it is confirmed that i/o modulation is not specified for 2 phases or more note ................................. <2> the io_modulation alba file was input when creating ?reate interface alba note ............................................................................................................................... .................. <3> when clock or modulation is specified, it is reflected in the io_modulation alba note ... (c) albchk was executed note ............................................................................................................................... ....................................... if the above box is filled in, there were no errors in the albchk execution note .............................................. be sure to provide the pattern number fraction .albchk file. bear in mind that because the tester cannot measure the level of pins at which an ?alue (/transition) is not appeared or ?on? care pin exist occurs in the dc pattern, defective products may be mixed in the samples at shipment. however, the above messages do not apply for the test pins of nec electronics that are not used alternately as user pins. (d) was an i/o modulation check executed? note ........................................................................................................................ required for i/o contention error even if i/o modulation is not specified. if the above is checked, there were no errors in the i/o modulation check execution ................... be sure to provide the pattern number fraction .iomoduchk file. w ere any errors that occurred control modulation errors, and the circuit configuration not a configuration such as pci? note ............................................................................................................................... ........................ unless circuit configuration is a configuration such as pci, control modulation errors are handled as pseudo errors in opencad v5.4.1 or later. (29) when dpll is incorporated there were no errors in the dpll mode check note ................................................................................................................... please submit the file .dpmodechk, which contains all the patterns to be interfaced, to nec electronics. p atterns output by create dpll connection pattern used for checking the connection of a dpll do not have to be checked. however, be sure to check whether the initialization pattern input when the pattern for checking the connection of a dpll is created has an error or not. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures.
73 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (28) albatross check that alba was created using the create interface alba file function of pattern utilities. patterns not created using this function cannot be interfaced. moreover, check if there is no test type problem. test type = dc only for dc measurement patterns. indicate whether albchk was executed, and if it is executed, whether any errors occurred. if it is not e x ecuted, list the reasons wh y it is not necessar y to e x ecute . indicate whether the i/o modulation check was executed, and if it was, check and indicate that there were no errors. unless the circuit configuration is a configuration such as pci, control modulation errors are handled as pseudo errors. check that all errors were control modulation errors and that the circuit configuration is not a configuration such as pci. (29) when a dpll is incorporated, check that there are no errors in the dpll mode check of simulation. if the bo x is left unc hec ked, list the reasons wh y the err or(s) will cause no pr ob lem.
74 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.2 ram block (1) about the ram block (a) blocks used (b) compiled ram is used ...................................................................................................................... (c) if compiled ram is used, there are an even number of words note ....................................................................... (d) all rams used are ram with bist (use of basic ram only is prohibited) note ............................................ (e) the te pin is directly accessed without inverting the logic from an external pin in the case of g/a and connected to teb via an inverter in the case of ea note ....................................................... (f) in test mode (te = l), the tin and tout pins are directly accessed without inverting the logic from external pins note ............................................................................................................................... ........................ (g) there is a test output pin (tout) provided for each of the rams used note .................................................. (h) if multiple rams are used, the te and tin pins are common to all the rams note .................................. (i) there are no patterns that access non-existent addresses note .............................................................................. (this does not apply to cell-based type high-density synchronous compiled ram) (j) te/teb of ram for all test pattern data is not in test mode note ............................................................................ (k) a high impedance prevention circuit for normal mode is included note ............................................................... if it is not included, be sure to include a prevention circuit, otherwise the tester may malfunction due to current flow, which may adversely affect shipping (embedded array only). (l) the instance names of the metalization wafer and base wafer are the same ............................... if they are not the same, submit the instance correspondence of the upper and base wafers (embedded array only). (m) enter the names of the ram test pins. te/teb (1 pin) tenb tin (1 pin) testi t out (number of rams used) t out0, tout1 (n) there were no mismatches in the ram check results note .......................................................................................... all the boxes from (c) to (n) should be checked. be aware that if one or more of these items are not checked, you may be requested to modify the circuitry at the test program creation stage (final development stage). (o) interface data submit the .rpi file in addition to normal interface data. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. block type (function) rj8f rj8h number of bits 8 8 number of words 256 512 number of blocks used 1 1
75 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (1) enter the following information about the ram block. (a) enter the ram block type name, as well as the number of bits, number of words, and number of ram b locks used. (b) indicate whether compiled ram is used. (c) odd words are prohibited, so indicate that there is an even number of words. (d) check and indicate that all rams used are ram with bist. change to ram with bist because the use of basic ram only is prohibited. (e) because the te (teb) pin must be directly accessed without inverting the logic from an external pin and connected via an inverter in the case of the ea-9, 9hd series, indicate that this is the case. (f) in test mode (te = l), because the tin and tout pins must be directly accessed without inverting the logic from external pins, indicate that this is the case. (g) because a test output pin (tout) must be provided for each of the rams used, indicate that this is the case. (h) if multiple rams are used, because the te and tin pins must be common to all the rams, indicate that this is the case. (i) in the ea-9, 9hd series, because there can be no patterns that access non-existent addresses, indicate that this kind of pattern does not exist. (j) check whether te/teb is not in test mode for all patterns and mark accordingly. (k) check and indicate whether a high-impedance prevention circuit for normal mode is included. if it is not included, be sure to include a prevention circuit, otherwise the tester may malfunction due to current flow, which may adversely affect shipping (embedded array only). (l) check and indicate that instance names of metalization wafer and base wafer are the same. if they are not the same, submit the instance correspondence of the upper and base wafers (embedded array only). (m) enter the names of the ram test pins (te, tin, tout). (enter one pin for te and tin. because the tout pin cannot be shared in a gate array, enter one pin per ram used.) (n) execute the ram check and check and indicate that there were no mismatches in the result.
76 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (2) ram initialization pattern (pattern for ram single-unit test) if there were no mismatches in the ram check results, you do not need to fill out (a) to (e). (a) if signals pass between the test pins and ram block via an internal gate, the logic of this internal gate is set to the rams test mode in the final pattern of the user-generated test pattern (note that signals cannot pass through a sequential circuit.) note ..................................................................................................................... (b) if there are bidirectional or 3-state pins (this includes all bidirectional and 3-state pins), enable is secured f or these pins in the final pattern of the user-generated test pattern note ......................................................... if any bidirectional or 3-state pins are being used as test pins, set the te and tin pins to input mode (en = l) and the tout pin to output mode (en = h) in the initialization pattern. (c) if there is an internal bus in the lsi (this includes all in-circuit internal buses), that bus is neither shorted nor in a floating state in the final pattern of the user-generated test pattern note ....................................... (d) if there is a sequential circuit in the lsi (this includes all in-circuit sequential circuits), the output of that sequential circuit is stable in the final pattern of the user-generated test pattern note ............................ remark regarding (d), the output should be stable so as to stabilize the lsis internal status and improve the accuracy of the ram test. if any of (a) to (d) above were not checked, an initialization pattern will be required for that item. (e) is an initialization pattern required for any of the above items? ..................................... yes no in the case of yes, please enter the following information. <1> neither ? nor ? has been entered for the input note ...................................................................................... <2> the expected output value is ?on? care note ........................................................................................................ <3> initialization pattern range 19,990 p attern to 20,000 p attern note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures.
77 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (2) enter the following information about the ram initialization pattern (pattern for ram single-unit test) (a) the tester automatically inserts the ram test pattern behind the dc pattern. therefore, if signals pass between the ram test pins and ram block via an internal gate, because the logic of this internal gate must be set to the rams test mode, indicate that this is the case (for the ram test mode pattern, refer to the design manual). (b) if there are bidirectional or 3-state pins, because these pins enable must be secured in the final test pattern of the dc pattern, indicate that this is the case. (c) if there is an internal bus in the lsi, because bus short or floating states must be suppressed, indicate that the bus is neither shorted nor floating. (d) if there is a sequential circuit in the lsi, because the ram test may not be performed normally, indicate that the output of that sequential circuit is stable in the final pattern of the dc pattern. (e) indicate whether an initialization pattern is required for any of items (a) to (d). if yes, enter the following information (<1> to <3> below). (if any of items (a) to (d) were not satisfied, then an initialization pattern is required.) <1> if either x or z is input for the ram initialization pattern input, because a stable test may not be able to be performed, indicate that x or z has not been input. <2> indicate that the status in the ram initialization pattern is ?on? care (mask status). this must be satisfied, because if the initialization pattern is not masked, the test may be defective. <3> because the ram initialization pattern must be added to the end of the dc pattern, check that this is the case, and enter the pattern range of the ram initialization pattern (this is not the pattern for checking connection (8 patterns)).
78 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.3 rom (1) blocks used (2) interface data submit the .nincf file in addition to normal interface data. block name (instance name) c$0010020 c$0020030 block type (function) j14f j14h nincf file nincf0 nincf1
79 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about the rom block. (1) the name (instance name) and type (function name) of the rom block being used, and the name of the nincf file corresponding to that block. remark note that in cases when there are multiple rom blocks of the same type, if the name (instance name) and type (function name) of the rom block is erroneous, the rom code merge will not be executed correctly.
80 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (b) how many test patterns are there? ................................................................................... 1 (2) details of simulation using pattern for high-speed function test (a) simulation was performed under the following conditions note 1 .............................................................................. 2.8.4 high-speed function test (1) pattern for high-speed function test (a) the following conditions are all satisfied note 1 ..................................................................................................................... <1> the initialization pattern has been entered note 1 ................................................................................................... <2> the test rate (t) is as follows: t 50 ns note 1 ......................................................................................................... <3> there is a strobe at one point only note 1 ..................................................................................................................... <4> the following equation is true: 15 ns s trobe time t ?10 ns note 1 ................................................... <5> the specified number of timing phases is 6 or less, including the basic timing note 1 ................. <6> the timing variation (time difference at the change point) of each phase is 10 ns or more note 1 ............................................................................................................................... ..................................................... <7> the i/o switching of the bidirectional buffer is performed at the basic timing note 1 ..................... <8> each pattern is initialized within 32 k note 1 ............................................................................................................... <9> the following restrictions are satisfied note 1 ............................................................................................................. (b) there were no mismatches in either min. or max. simulation note 1 .................................................................... notes 1. if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. 2. the load capacitance value may change in order to improve the accuracy of the actual wiring length. timing limit signal type basic timing nrz signal rz signal (clock mode) input delay (t d ) 0 ns min. 10 ns 10 ns max. t ?10 ns t ?10 ns min. 144 pins or less: 15 ns 145 pins or more: 10 ns max. t ?t d ?15 ns input pulse width simulation condition t est cycle (t) load capacitance value strobe time min. user-specified value 50 pf note 2 user-specified value + 5 ns max. user-specified value 125 pf (bidirectional pins) 90 pf (output pins) user-specified value ? 5 ns
81 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (1) enter the following information about the high-speed function test pattern. all these items must be satisfied. (a) indicate whether conditions <1> to <9> below are all satisfied. <1> indicate that the initialization pattern has been entered in the high-speed function test pattern. <2> indicate that the test rate (t) is 50 ns or more. <3> indicate that there is a strobe at one point only. <4> indicate that the strobe time is 15 ns or more and t ?10 ns or less. <5> indicate that the specified number of timing phases is 6 or less, including the basic timing. <6> indicate that the timing variation (time difference at the change point) of each phase is 10 ns or more. <7> indicate that the i/o switching of the bidirectional buffer is performed at the basic timing. <8> indicate that each pattern is initialized within 32 k. <9> indicate that the restrictions in the table on the left are satisfied. (b) enter the number of high-speed function test patterns. (2) enter details of simulation using the pattern for high-speed function test. (a) simulation must be performed under the conditions in the table on the left, so indicate that this was the case. (b) indicate that there were no mismatches in either min. or max. simulation. the occurrence of a mismatch changes the timing conditions, so ensure that no mismatch occurs.
82 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.5 gtl, pecl, hstl, pci, lvds block (1) blocks used if there are ien pins, please enter (2) to (8). (2) all the ien pins are connected directly to an input buffer note ............................................................................................ connect ien directly to an external buffer (pci is not a target). (3) the ien pins are connected via input buffers fixa, fuxa, and fiza note ................................................................ use the dedicated buffers above for the ien pins (pci is not a target). (4) enter the name of the external pin that controls the ien pins (pci is not a target). pin name gtlien (5) there is at least one pattern in which all the ien pins are l after pattern 51 of the dc pattern note ............................................................................................................................... ............................................................... this pattern is required for i dd measurement, so be sure to make at least one pattern l (pci is not a target). (6) if 5 v pci is being used, a 5 v additional power supply specification (vd5-cmos9hd, vdd5-ea9hd) was made when the dif file was created note .............................................................................................. be aware that this name differs from the usual additional power supply name. additional v d(d) 5 3 (for cmos-9hd and ea-9hd, a 5 v additional power supply is required for each edge used) pin no. 50, 55, 60 (7) if modulation must be inserted in pci pins when pci pins are used, check either (a) or (b). (a) pattern was created in i/o modulation specification. ....................................................................... (b) enter the pin names and delay values if modulation needs to be inserted in the waveform. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. block name fir1 egtl bgow i/o i o i/o number of blocks used 1 1 2 delay value 30 30 30 30 30 pin name a01 a02 a03 a04 a05 delay value pin name delay value pin name
83 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about the gtl, pecl, hstl, pci, and lvds blocks. (1) enter the block name, buffer type (input = i, output = o, bidirectional = i/o), and number of gtl, pecl, hstl, pci, and lvds blocks used. (2) all the gtl ien pins must be connected directly from outside to gtl input buffers, so indicate that this is the case (pci is not a target). (3) a dedicated control buffer must be used for the ien pins, so indicate that this is the case (pci is not a target). (4) enter the name of the external pin that controls the ien pins (pci is not a target). (5) for i dd measurement, there must be at least one pattern 51 patterns or more after the dc pattern in which all the gtl ien pins are l. indicate that there is a pattern in which all the ien pins are l (pci is not a target). (6) when a 5 v pci is included, a 5 v additional power supply (v d(d)5 ) must be specified in the dif file, so indicate that this specification was made when the file was created. note that the specification method differs depending on the series. specify vd5 for the cmos-9hd series, and vdd5 for the ea-9hd series. (7) when pci pins are being used (a) when using i/o modulation, in the case of opencad v5.3, submit strobe alb. in the case of opencad v5.4 or later, create alba by making the device read the io_modulation file with the create interface alba function of the pattern utility. (b) enter the pin name and delay value for those pci pins at which it is necessary to insert modulation.
84 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.6 dpll block (1) blocks used (2) an initialization pattern has been created note ............................................................................................................................... . (execute simulation using the initialization pattern and check that there were no mismatches.) (3) in the initialization pattern, all the i/o buffer modes have been secured note .......................................................... (4) if the dplls external pins have i/o buffers, the input pins are fixed to input and the output pins are fixed to output when tmd0, tmd1, (tmd2) are in dpll unit test mode note ..................................... (ensure the 3-state buffer is on.) (5) clock (rz) and modulation (nrz) are not used for external pin connected to dpll in the initialization pattern note ............................................................................................................................... ..................................... (do not make a timing specification.) (6) all output pins of the initialization pattern except the tout pin are ?on? care (except oscillation output signal) note ............................................................................................................................... ..................... (7) the dplls input and output pins can be accessed directly note ...................................................................................... if not, verification and approval of special requests, etc., by nec electronics are required before interfacing. (8) the rclk, tmd0, tmd1, tmd2, tmd3, and tout pins do not share signal lines with other signals note ............................................................................................................................... ................................................................................. (this does not apply to sharing tmd0 to tmd3 with pins with the same function when using multiple dplls) (a) when using a dpll together with nec_scan, can the above pins be accessed without being affected by other external pins? ........................................................................................................ if not, add information to pin fixing file regarding 2.8.8 alternate use as scan path and boundary scan and 2.8.9 scan path. (b) it is confirmed that the output signal of the tout pin is not used in the internal circuit note ............. if not, verification and approval of special requests, etc., by nec electronics are required before interfacing. (9) the test pattern (dc, lft) was generated in through path mode and reset mode only note ....................... (10) a dedicated buffer (fi0p/fi0q) is used for rclk (h01) note ............................................................................................... (11) a function error does not occur as a result of creating and simulating the pattern f or checking dpll connection note ............................................................................................................................... ........................... (12) interface data submit the .dpmodechk file and .slg file in addition to normal interface data. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. block name f9e6 instance name dpll1 number of blocks used 1
85 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about the dpll block. (1) enter the block names, instance names and number of dpll blocks used. (2) indicate whether an initialization pattern has been created. an initialization pattern must be prepared. (3) in the initialization pattern, in order to perform a stable the dpll test, it is necessary to fix the mode of the i/o buffers not related to the dpll, so indicate that these buffers have been mode-fixed. (4) when testing the dpll, the mode of the external pins must be fixed to input mode when connected to input pins and output mode when connected to output pins, so indicate that these pins are fixed to either input or output (ensure the 3-state buffer is on). (5) in the dpll test initialization pattern, clock and modulation cannot be used for the external pin connected to dpll. indicate that a clock (rz) or modulation (nrz) is not being used (do not make a timing specification). (6) the final patterns of output pins other than tout pin in the initialization pattern must all be ?on? care for performing dpll test. check if this is the case and mark accordingly. however, the oscillation output signal when the oscillator is included must not be ?on? care? (7) to test the dpll, the input control pin and tout pin must be accessed directly, so indicate whether the input and output pins can be accessed directly. (8) indicate that the rclk, tmd0, tmd1, tmd2, tmd3, and tout pins do not share signal lines with other signals (this does not apply to sharing tmd0, tmd1, tmd2, and tmd3 with pins with the same function when using multiple dplls). (a) when using a dpll together with nec_scan, check and indicate that the above pins can be accessed without being affected by other external pins. if they can?, add the required level information of the other external pins to the scan path pin fix file. (b) check if the output signal of the tout pin is not used by the internal circuit, and mark accordingly. if not, verification and approval of special requests, etc., by nec electronics are required before interfacing. (9) indicate that the pll or nec test mode is not being used in the test pattern (in the user pattern, this only applies to through-path mode or reset mode). (10) a dedicated buffer must be used for the external pin connecting rclk. indicate that this is the case. (11) indicate that function errors do not occur when executing simulation after creating the pattern for checking dpll connection. use albatross for opencad v5.4 or later and albatross or logpat for opencad v5.3 as the pattern format at this time. (12) please submit .dpmodechk and .sig for dpll connection verification simulation, in addition to regular materials, as interface data.
86 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (13) pin correspondence table (a) either submit the .pinf file, or enter (c) pin correspondence table. (b) if multiple dplls are included during .pinf file submission, enter the .pinf file correspondence. dpll block name f9e6 interface name dpll1 .pinf file name f9e6.pinf dpll block name f9e4 interface name dpll2 .pinf file name f9e4.pinf (c) pin correspondence table (if multiple dplls are included, make several copies of this sheet and enter the information as required.) dpll block name f9e6 instance name dpll1 pin name (h01) (h02) (h03) (h04) (h05) (h06) (h07) (h08) (h09) (h10) (h11) (h12) (h13) (h14) pin name (h15) (h16) (h17) (h18) (h19) (h20) (n01) (n02) (n03) (n04) (n05) (n06) (n07) (n08) pin no. 3 5 6 7 8 9 10 external pin name pllrclk plltck0 plltck1 plltmd0 plltmd1 plltmd2 plltsmi pin no. 12 external pin name plltout
87 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (13) pin correspondence table (a) either submit the .pinf file, or enter (c) pin correspondence table. (b) enter the dpll block name and interface name corresponding to the .pinf file. (c) enter the required information in the pin correspondence table. if multiple dplls are included, make several copies of this sheet and enter the information as required.
88 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.7 megamacro (1) blocks used remark always initialize the megamacro after inserting the initialization pattern in each megamacro into the start of test patterns such as dc and lft. block name na54 number of blocks used 1 (2) which level the cse pin is fixed? [must indicate] .................................................................... h l (in the case of h, a bus configuration for the megamacro outputs is not possible) in the case of cse = l, what is the circuit configuration of the megamacro outputs? (a) the megamacro outputs have a bus configuration ........................................................................ (b) megamacro outputs are received via a gate and hi-z disappears. ................................................. (this is basically prohibited in ea-9hd. use a bus holder in this case.) (3) direct signals have been added from input pins for all the inputs of the megamacro note ................... (do not invert signals or pass them through a sequential circuit) (4) direct monitoring is possible at output pins for all the outputs of the megamacro note ........................ (do not invert signals or pass them through a sequential circuit) (5) a megamacro single-unit test setting pattern has been generated note ................................................ ensure that the megamacro single-unit test pattern conforms to next (a) to (f) interface conditions. (a) all the final patterns of output pins other than those of megamacros are ?on? care (except for the oscillation output signal) note .................................................................................... (b) neither clock (rz) nor modulation (nrz) is used note .................................................................................................... (c) the i/o buffer mode has been secured note ..................................................................................... (d) the internal circuits other than those of the megamacro have been initialized note ...................... (e) there is no hi-z or unknown input note ............................................................................................. (f) there were no mismatches as a result of the simulation before placement and routing note ........ (6) is a test pattern required for setting (3) and (4) above? ......................................................... yes no in the case of yes, incorporate it in the single unit test setting pattern. (7) the ?egamacro single unit test specification document from the megamacro design manual has been submitted note .............................................................................................................. (if not, include it with this manual) the required items for the megamacro to be used should be included in the above document. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. remark if there are any details regarding the megamacro test circuit configuration that require special attention, please enter them here. a bidirectional buffer is used and id0 to id7 and od0 to od7 are used in common.
89 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about megamacros. (1) enter the name and number of the megamacro blocks used (2) the configuration of the megamacro output block differs depending on the level of the cse pin, so indicate that the level of the cse pin is fixed to either h or l. in the case of cse = l, indicate whether the megamacro outputs are configured as a bus or as a gate (refer to the relevant design manual for details of the circuit configuration). (3) for the megamacro single-unit test using the tester, direct signals must be added from input pins for all the inputs. check the circuit and indicate that this is the case. (4) for the megamacro single-unit test using the nec tester, direct monitoring must be possible at output pins f or all the outputs. check the circuit and indicate that this is the case. (5) when creating a megamacro single unit test design pattern, check and indicate that items (a) to (f) are all checked. (6) when performing the megamacro single-unit test, in order to transfer external signals to megamacro inputs without inverting them when gates, etc., have been inserted into the signal lines of the test pins, a setting pattern is required. indicate whether a test pattern for setting (3) and (4) is required. (7) indicate whether the required sections of the ?egamacro single unit test specification document in the megamacro version of each design manual have been copied and submitted with the required items entered (items such as the instance names and pin reference table are required specifications and therefore must be prepared). f or example, if a specific method such as sharing the megamacro data input and output is being used, enter this information.
90 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.8 alternate use as scan path and boundary scan (1) dedicated boundary scan pins were added to dif note ............................................................................ (2) have tdi (sin) and tdo (sot) been allocated to positions reserved for dedicated scan path pins in each package? (this does not apply to packages with 314 or more pins.) note ............................... ? lease enter the relevant pin numbers in the following table for confirmation. (this does not apply to packages with 314 or more pins.) (3) it is confirmed that no error occurred in scan rule check note ............................................................................................. the scan rule check execution results have been submitted note ..................................................................................... (if not, please submit them) if there is a separate file, pin fix file, or scan bist file, please submit these at the same time. separate file name b unri pin fix file name ko tei scan bist file name to p .bist.scn (4) tap macros (sbcg, sbcl) are placed on top layer note ....................................................................................................... (5) the dedicated boundary scan pins are fixed to the following values note ................................................................... (these pins must be fixed; otherwise they will be in boundary scan mode) tck = 0, tms = 1, tdi = 1, trst = 0, tdo = hi-z * the same applies for user patterns such as dc and lft. (6) please enter below the dc measurement pattern of the tap macro section in the dc test pattern. (refer to the design manual for details of the tap macro dc pattern.) f rom 100 pattern to 115 pattern be aware that if the dc pattern is not described, the tester cannot measure the level, possibly causing defective products to be mixed in the samples at shipment. (7) in all bscan test patterns, the final value of the patterns of all output pins (pins other than boundary scan dedicated pins) has been set to ?? ?? or ?i-z note .............................................................................................................. (this is necessary because undefined is prohibited.) (8) the following data has been prepared in addition to the usual interface data note .............................................. .bspat.alb, .bscanchk, .set, .bsorder, .bsdl, .scan.init.alb [non test external pin] required when dpll or oscillator with oscillation stop function is incorporated [non scan macro specification] required when compiled memory, megamacro, and macro have been specified as separate [non scan bist] specified only when required in an embedded array (9) .scan.init.alb and .bspat.alb are created from the same boundary scan initialize pattern note .................... (10) the following restrictions apply when designing the scan path. are all the following restrictions observed? note ............................................................................................................................ <1> use of an internal bus configuration (f53x, f54x, etc.) is not possible. <2> external i/o pins and tap macros must always be placed on the topmost layer. <3> do not connect the scan output control buffers (soeh, soel) to the tap macro test data output (tdo) pin and pins that use the boundary scan register. the standard fault coverage is 95%. if a fault coverage greater than 95% is required, please contact nec electronics prior to interfacing. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. scan pin name smc sin sot bscan pin name tms tdi tdo pin no. - 41 42 scan pin name - sck bscan pin name trst tck pin no. - -
91 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about the scan path and boundary scan. (1) indicate whether boundary scan-dedicated pins have been added to the dif file. (2) when there are fewer than 314 pins, check and indicate that tdi (sin) and tdo (sot) have been allocated to positions reserved for dedicated scan path pins in each package. for confirmation, also enter the pin no. of the tdi and tdo pins. (3) the scan rule check checks the adequacy of the scan circuits and therefore must be executed. check the ex ecution results and indicate that there were no errors. if an error occurred, fix it, re-execute the scan rule check, and confirm that the error did not reoccur. the execution results must be submitted. if there is a separate file, pin fix file, or scan bist file, please submit these. enter each file name (also check that bunri and atgname are both included in the separate file). (4) check if the tap macros (sbcg, sbcl) are placed on the top layer. (5) the dedicated boundary scan pins in the bscan pattern will be in boundary scan mode if they are not fixed, so indicate that these pins have been fixed to the values shown on the left. (6) if dc patterns for tap macro are not described in the dc pattern, the level of the tap macro i/o pins cannot be set. it is therefore necessary to describe dc patterns for tap macro in the dc pattern. enter pattern range in which dc patterns for tap macro will be described. (7) check if the final value of output pin patterns other than boundary scan dedicated pins has been set to ?? ?? or ?i-z? and mark accordingly. 3-state outputs must not be undefined or hi-z, so be sure to set the pin level correctly. (8) check whether there are the files listed on the left in addition to the regular interface data. (9) an bscan pattern is also necessary when creating circuit-name.scan.init.alb. (10) there are blocks that cannot be used when designing the scan path, so refer to the restrictions in <1> to <3> and indicate that these restrictions have been observed.
92 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.9 scan path (1) scan path this check is not required in case of alternate use as boundary scan. (a) is if there are 32,000 or more f/fs, the scan becomes a multiscan. in this case, fill in (2) multiscan. if there are less than 32,000 f/fs, check the following items. (b) smc, sin, and sot are located in the positions reserved for dedicated scan path pins in each package (this does not apply to packages with 314 or more pins.) note ................................................................................ (c) dedicated scan path pins were added to dif note .............................................................................................................. ? lease enter the relevant pin numbers in the following table for confirmation. (d) do the following 3 pins function alternately as general pins? sin ............. has alternate function pin name: aaa does not have alternate function ? ot ............ has alternate function pin name: does not have alternate function sck ............ has alternate function pin name: ccc does not have alternate function if these pins have alternate functions, the circuits have been configured in accordance with the design manual note ............................................................................................................................... ............................ be sure to submit the .primpin file regardless of whether these pins function alternately as general pins. (e) it is confirmed that no error occurred in scan rule check note .................................................................................... the scan rule check execution results have been submitted note ........................................................................... (if not, please submit them) if there is a separate file, pin fix file, or scan bist file, please submit these at the same time separate file name bunri pin fix file name ko tei scan bist file name .bist.scn (f) the following restrictions apply when designing the scan path. are all the following restrictions observed? note ................................................................................................................... <1> use of an internal bus configuration (f53x, f54x, etc.) is not possible. <2> be sure to configure the external i/o pins in the top layer. the standard fault coverage is 95%. if a fault coverage greater than 95% is required, please contact nec electronics prior to interfacing. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. pin name smc sin sot pin name amc sck pin no. 45 46 44 pin no. 8 38
93 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (1) enter the following information about the scan path. (a) if there are 32,000 or more f/fs, the scan becomes a multiscan. in this case, fill in (2) multiscan (the items below do not need to be checked). (b) in packages of less than 314 pin, the smc, sin, and sot pin configuration locations is set separately fo r each package, so check if the pins are placed at their assigned locations and mark accordingly. (c) indicate whether scan-dedicated pins have been added to the dif file. for confirmation, also enter the pin no. of the smc, sin, sot, amc, and sck pins. (d) sin, sot, and sck can function alternately as general pins, so indicate whether these pins have general- pin alternate functions. if these pins have alternate functions, enter the pin names and indicate whether the circuits have been configured in accordance with the design manual. be sure to submit the .primpin file regardless of whether these pins function alternately as general pins. (e) the scan rule check checks the adequacy of the scan circuits and therefore must be executed. check the execution results and indicate that there were no errors. if an error occurred, fix it, re-execute the scan rule check, and confirm that the error did not reoccur. the execution results must be submitted. if there is a separate file, pin fix file, or scan bist file, please submit these. enter each file name (also check that bunri and atgname are both included in the separate file). (f) there are blocks that cannot be used when designing the scan path, so refer to the restrictions in <1> and <2> and indicate that these restrictions have been observed.
94 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (b) it is confirmed that no error occurred in scan rule check note .................................................................................... the scan rule check execution results have been submitted note ........................................................................... (if not, please submit them) if there is a separate file, pin fix file, or scan bist file, please submit these at the same time. separate file name bunri pin fix file name ko tei scan bist file name bist.scn (c) enter the pin names corresponding to the following pins when multiscan pins are used alternately as user pins. when sin, sot, and sck pins are not used alternately, it is not necessary to enter this item. (2) multiscan (a) enter a check mark in the following table for the number of scan chains. if these pins have alternate functions, the circuits have been configured in accordance with the design manual note ............................................................................................................................... ............................ (d) the following restrictions apply when designing the scan path. are all the following restrictions observed? note ................................................................................................................... <1> use of an internal bus configuration (f53x, f54x, etc.) is not possible. <2> be sure to configure the external i/o pins in the top layer. the standard fault coverage is 95%. if a fault coverage greater than 95% is required, please contact nec electronics prior to interfacing. note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. number of f/fs 31999 or less 32000 to 63999 64000 to 127999 128000 to 255999 256000 to 511999 512000 or more number of scan 1 2 4 8 16 32 chains dedicated external pin dedicated external pin dedicated external pin dedicated external pin pin name name pin name name pin name name pin name name sin1 aa sot1 sin17 sot17 sin2 bb sot2 sin18 sot18 sin3 sot3 sin19 sot19 sin4 sot4 sin20 sot20 sin5 sot5 sin21 sot21 sin6 sot6 sin22 sot22 sin7 sot7 sin23 sot23 sin8 sot8 sin24 sot24 sin9 sot9 sin25 sot25 sin10 sot10 sin26 sot26 sin11 sot11 sin27 sot27 sin12 sot12 sin28 sot28 sin13 sot13 sin29 sot29 sin14 sot14 sin30 sot30 sin15 sot15 sin31 sot31 sin16 sot16 sin32 sot32 sck
95 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample (2) enter the following information about the multiscan. (a) enter the check mark in the following table for the number of scan chains. calculate the number of f/fs from the result of gatedrc. the number of scan chains is 1, 2, 4, 8, 16, and 32 only as shown in the table. the other numbers are not supported. (b) the scan rule check checks the adequacy of the scan circuits and therefore must be executed. check the execution results and indicate that there were no errors. if an error occurred, fix it, re-execute the scan rule check, and confirm that the error did not reoccur. the execution results must be submitted. if there is a separate file, pin fix file, or scan bist file, please submit these. enter each file name (also check that bunri and atgname are both included in the separate file). (c) the sin, sot, and sck pins can function alternately as general pins, so if they are being used as such, check that the circuits have been configured in accordance with the design manual. (d) there are blocks that cannot be used when designing the scan path, so refer to the restrictions in <1> and <2> and indicate that these restrictions have been observed.
96 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample 2.8.10 boundary scan this check is not required in case of alternate use as scan path. (1) for boundary scan dedicated pins, enter the pin names corresponding to the following pins for verification purposes. (2) it is confirmed that no error occurred in boundary scan rule check (bschk) note .............................................. the bschk execution results have been submitted note ........................................................................................................ (if not, please submit them) (3) bscan pattern (a) the dedicated boundary scan pins are fixed to the following values note ......................................................... (these pins must be fixed; otherwise they will be in boundary scan mode) tck = 0, tms = 1, tdi = 1, trst = 0, tdo = hi-z the same applies for user patterns such as dc and lft. (b) patterns in which the final value is fixed to 1, 0, or hi-z at each output pin have been prepared note ............................................................................................................................... .................................................................. <1> in the case of 2-state, n-ch open-drain output pin, at least 2 patterns have been prepared note ............................................................................................................................... ...................................................... (the 2 states are ? and ?? and n-ch open drain is ? and ?i-z?) <2> in the case of 3-state, bidirectional output pins, at least 3 patterns have been prepared note ............................................................................................................................... ...................................................... (the 3 states are ?? ?? and ?i-z? and bidirectional is ?? ?? and ?nput mode?) (4) please enter below the dc measurement pattern of the tap macro section in the dc test pattern. (refer to the design manual for details of the tap macro dc pattern.) f rom 100 pattern to 115 pattern be aware that if the dc pattern is not described, the tester cannot measure the level, possibly causing defective products to be mixed in the samples at shipment. use either sbc4 or sbcj as the tap macro. (5) interface data: the following data has been prepared in addition to the usual interface data note .............................................. .bspat.alb, .bscanchk, .bspat.albchk result of simulation (min, max) using .bspat.alb (.slg, .iomoduchk, .dpmodechk, .tpe) note if this item is satisfied, check the box. for any boxes left unchecked, please write proposed countermeasures. pin name external pin dedicated pin external pin dedicated pin external pin name name name name name tck tck tms tms tdo tdo tdi tdi trst trst
97 chapter 2 implementing the system using the gate array design manual a13826ej7v0dm sample enter the following information about the boundary scan. (1) for confirmation, enter the names of the external pins corresponding to the dedicated boundary scan pins (ensure that the names of the dedicated boundary scan pins match those of the external pins). (2) bschk checks the adequacy of the boundary scan circuits and therefore must be executed. check the ex ecution results and indicate that there were no errors. if an error occurred, fix it, re-execute bschk, and confirm that the error did not reoccur. the execution results must be submitted. (3) enter the following information about the bscan pattern. (a) the dedicated boundary scan pins in the bscan pattern will be in boundary scan mode if they are not fixed, so indicate that these pins have been fixed to the values shown on the left. (b) check if patterns where the final pattern value has been set to ?? to ?? and ?i-z have been prepared f or each output pin, and mark accordingly. <1> at least 2 bscan patterns are required for 2-state and n-ch open-drain output pins. (? and ? for 2-state; ? and ?i-z for n-ch open drain) <2> at least 3 bscan patterns are required for 3-state and bidirectional output pins. (?? ?? and ?i-z for 3-state, and ?? ?? and ?nput mode for bidirectional pins) (4) if dc patterns for tap macro are not described in the dc pattern, the level of the tap macro i/o pins cannot be set. it is therefore necessary to describe dc patterns for tap macro in the dc pattern. enter pattern range in which dc patterns for tap macro will be described. (5) check whether there are the files listed on the left in addition to the regular interface data. submit also information for results of min/max simulation execution with .bspat.alb.
98 design manual a13826ej7v0dm chapter 3 product specifications to enable connection to both cmos and ttl products, the cmos-n5 series is provided with two types of input/ output interface blocks, each with a specified v il and v ih : cmos level and ttl level. in general, usable operating conditions differ between the cmos products and ttl products. this chapter describes the recommended operating conditions and the dc and ac characteristics corresponding to the usable power supply voltage range and temperature range. 3.1 terminology ta ble 3-1. terminology for absolute maximum ratings pa ra meter symbol definition po wer supply voltage v dd range of voltages which will not damage or reduce reliability when applied to the v dd pin. input voltage v i range of voltages which will not damage or reduce reliability when applied to the input pin. output voltage v o range of voltages which will not damage or reduce reliability when applied to the output pin. input current i i maximum allowable current which will not cause latchup when applied to the input pin. output current i o maximum allowable dc current which will not cause damage or reduce reliability when flowing to or from the output pin. operating temperature t a range of ambient temperatures for normal logical operation. storage temperature t stg range of element temperatures which will not damage or reduce reliability in the state where neither voltage nor current is applied. ta bl e 3-2. terminology for recommended operating conditions pa ra meter symbol definition po wer supply voltage v dd range of voltages for normal logical operation when v ss = 0 v. input voltage, high v ih f or voltage applied to the input of the gate array, this value indicates the voltage of the high-level state in which the input buffer operates normally. ?if voltage greater than the min. value is applied, the input voltage is assured to be high-level. input voltage, low v il f or voltage applied to the input of the gate array, this value indicates the voltage of the low-level state in which the input buffer operates normally. ?if a voltage less than the max. value is applied, the input voltage is assured to be low-level. p ositive trigger voltage v p input level that inverts the output level when the input of the gate array is changed from the low-level side to the high-level side. negative trigger voltage v n input level that inverts the output level when the input of the gate array is changed from the high-level side to the low-level side. hysteresis voltage v h difference between the positive- and negative-trigger voltage. input rise time t ri limit value for the rise time from 10% to 90% of the input voltage applied to the input of the gate array. input fall time t fi limit value for the fall time from 90% to 10% of the input voltage applied to the input of the gate array.
99 chapter 3 product specifications design manual a13826ej7v0dm ta b le 3-3. terminology for dc characteristics pa ra meter symbol definition static current consumption i dds in the state where there is no voltage change in the input and output pins, indicates the current that flows in from the power supply pin at the specified power supply v oltage. off-state output current i oz f or a 3-state output, this value indicates the current that flows through the output pin at the specified voltage when the output is at high impedance. output short-circuit current i os current that flows out if the output pin is short-circuited to gnd when output is at the high level. input leakage current i i current that flows through the input pin when voltage is applied to the input pin. output current, low i ol current that flows to the output pin at the specified low-level output voltage. output current, high i oh current that flows from the output pin at the specified high-level output voltage. output voltage, low v ol output voltage when output is open in the low-level state. output voltage, high v oh output voltage when output is open in the high-level state.
100 chapter 3 product specifications design manual a13826ej7v0dm 3.2 absolute maximum ratings ta b le 3-4. absolute maximum ratings pa ra meter symbol conditions ratings unit po wer supply voltage v dd ?.5 to +6.0 v input voltage/output voltage v i /v o ?.5 to +6.0 v input current i i 20 ma output current i o i ol = 3.0 ma type 10 ma i ol = 6.0 ma type 15 ma i ol = 9.0 ma type 20 ma i ol = 12.0 ma type 30 ma i ol = 18.0 ma type 40 ma i ol = 24.0 ma type 60 ma operating ambient temperature t a ?0 to +85 c storage temperature t stg ?5 to +150 c caution pr oduct quality may suffer if the absolute maximum rating is exceeded even momentarily for any parameter. that is, the absolute maximum ratings are rated values at which the product is on the verge of suffering physical damage, and therefore the product must be used under conditions that ensure that the absolute maximum ratings are not exceeded.
101 chapter 3 product specifications design manual a13826ej7v0dm old-type schmitt input note2 new-type schmitt input note 3 old-type schmitt input note2 new-type schmitt input note 3 3.3 standard specification of cmos interface conditions (v dd = 5.0 v 10%, t a = ?0 to +85 c) 3.3.1 recommended operating range ta ble 3-5. recommended operating range (v dd = 5.0 v 10%, t a = ?0 to +85 c) pa ra meter symbol conditions min. typ. max. unit po wer supply voltage v dd 4.5 5.0 5.5 v input voltage, high v ih cmos interface note 1 0.7v dd v dd v input voltage, low v il 0.00 0.3v dd v p ositive trigger voltage v p 0.80 3.90 v negative trigger voltage v n 0.60 3.10 v hysteresis voltage v h 0.20 0.80 v p ositive trigger voltage v p 2.85 3.75 v negative trigger voltage v n 1.15 1.75 v hysteresis voltage v h 1.30 2.07 v input voltage, high v ih ttl interface note 1 2.29 v dd v input voltage, low v il 0.00 0.77 v p ositive trigger voltage v p 1.15 2.54 v negative trigger voltage v n 0.59 2.10 v hysteresis voltage v h 0.15 0.60 v p ositive trigger voltage v p 1.68 2.55 v negative trigger voltage v n 0.64 1.33 v hysteresis voltage v h 0.83 1.44 v input rise time t ri normal input 0 200 ns input fall time t fi 0 200 ns input rise time t ri schmitt input 0 10 ms input fall time t fi 010ms notes 1. use a new-type cmos interface, which has w suffixed to the block name, for the schmitt buffer. 2. schmitt buffer without w suffixed to the block name. 3. schmitt buffer with w suffixed to the block name. remark when inputting a slow signal with a long rise/fall time, noise on the signal line may affect the operation, so be sure to use a schmitt trigger input buffer. because fluctuation on the power supply line due to simultaneous operation of output buffers reduces the capability of the schmitt trigger input buffer, carefully determine pin placement.
102 chapter 3 product specifications design manual a13826ej7v0dm 3.3.2 dc characteristics ta b le 3-6. dc characteristics (v dd = 5.0 v 10%, t a = ?0 to +85 c) pa ra meter symbol conditions min. typ. max. unit static current consumption note 1 i dds v i = v dd or gnd 0.1 100 a off-state output current i oz v o = v dd or gnd 10 a output short-circuit current note 2 i os v o = gnd ?50 ma input leakage current normal input i i v i = v dd or gnd 10 ? 10 a with pull-up resistor (50 k ? )i i v i = gnd 45 131.0 319.7 a with pull-up resistor (5 k ? )i i v i = gnd 0.3489 1.00 2.2 ma with pull-down resistor (50 k ? )i i v i = v dd 45 131.0 319.7 a pull-up resistor (50 k ? ) note 3 r pu v i = gnd 17.2 38.2 100 k ? pull-up resistor (5 k ? ) note 3 r pu v i = gnd 2.5 5.0 12.9 k ? pull-down resistor (50 k ? ) note 3 r pd v i = v dd 17.2 38.2 100 k ? output voltage, low v ol i ol = 0 ma 0.1 v (cmos-level output) output voltage, high v oh i oh = 0 ma v dd ?0.1 v (cmos-level output) output current, low (cmos-level output) 3.0 ma type i ol v ol = 0.4 v 3.00 note 4 ma 6.0 ma type i ol v ol = 0.4 v 6.00 ma 9.0 ma type i ol v ol = 0.4 v 9.00 ma 12.0 ma type i ol v ol = 0.4 v 12.00 ma 18.0 ma type i ol v ol = 0.4 v 18.00 ma 24.0 ma type i ol v ol = 0.4 v 24.00 ma output current, high (cmos-level output) 3.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 6.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 9.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 12.0 ma type i oh v oh = v dd ?0.4 v ?2.00 ma 18.0 ma type i oh v oh = v dd ?0.4 v ?8.00 ma 24.0 ma type i oh v oh = v dd ?0.4 v ?4.00 ma notes 1. static current consumption increases when an i/o block with an on-chip pull-up/pull-down resistor and an oscillator are used. see chapter 4 estimating electrical characteristics f or details. 2. the output short-circuit time is less than one second and for only one lsi pin. 3. the pull-up resistor and pull-down resistor values vary depending on the input and output voltages. 4. this value is 2.0 ma if a pull-up resistor of 5 k ? is connected. remark the + and ?signs of the current v alues in the tab le indicate the direction of the current. current flo wing into a de vice is indicated b y +; current flo wing out is indicated b y ?
103 chapter 3 product specifications design manual a13826ej7v0dm 3.3.3 ac characteristics ta b le 3-7 shows the ac characteristics. the maximum operating clock frequency (f max ) of the internal cell toggle flip-flop is the value of the toggle frequency (f tog ) in the table. note that the f max va r ies in the actual circuit according to the circuit configuration. ta b le 3-7. ac characteristics (v dd = 5.0 v 10%, t a = ?0 to +85 c) pa ra meter symbol conditions min. typ. max. unit maximum toggle frequency f tog internal toggle f/f f an-outs = 2, wiring length = 0 mm 200 propagation delay time t pd internal gate f an-outs = 2, wiring length = 2 mm 0.30 ns f an-outs = 1, standard wiring length 0.16 ns f an-outs = 2, standard wiring length 0.18 ns internal gate (low power gate) f an-outs = 1, standard wiring length 0.21 ns f an-outs = 1, wiring length = 0 mm 0.14 ns input buffer f an-outs = 1, standard wiring length 0.23 ns f an-outs = 2, wiring length = 2 mm 0.33 ns output buffer (fo01) c l = 15 pf 1.30 ns output rise time t r output buffer (fo01) c l = 15 pf 1.23 ns output fall time t f output buffer (fo01) c l = 15 pf 1.62 ns
104 chapter 3 product specifications design manual a13826ej7v0dm 3.4 specification 1 (v dd = 3.0 0.3 v, t a = ?0 to +85 c) 3.4.1 recommended operating range ta b le 3-8. recommended operating range (v dd = 3.0 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit po wer supply voltage v dd 2.7 3.0 3.3 v input voltage, high v ih cmos interface 0.8v dd v dd v input voltage, low v il 0.0 0.2v dd v p ositive trigger voltage v p schmitt input 1.75 2.40 v negative trigger voltage v n 0.70 1.10 v hysteresis voltage v h 0.81 1.46 v input rise time t ri normal input 0 200 ns input fall time t fi 0 200 ns input rise time t ri schmitt input 0 10 ms input fall time t fi 010ms remark when inputting a slow signal with a long rise/fall time, noise on the signal line may affect the operation, so be sure to use a schmitt trigger input buffer. because fluctuation on the power supply line due to simultaneous operation of output buffers reduces the capability of the schmitt trigger input buffer, carefully determine pin placement.
105 chapter 3 product specifications design manual a13826ej7v0dm 3.4.2 dc characteristics ta b le 3-9. dc characteristics (v dd = 3.0 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit static current consumption note 1 i dds v i = v dd or gnd 54.7 a off-state output current i oz v o = v dd or gnd 8 a output short-circuit current note 2 i os v o = gnd ?00 ma input leakage current normal input i i v i = v dd or gnd 6 10 ? 8 a with pull-up resistor (50 k ? )i i v i = gnd 10.5 40.8 110.0 a with pull-up resistor (5 k ? )i i v i = gnd 0.08 0.41 0.80 ma with pull-down resistor (50 k ? ) i i v i = v dd 10.5 40.8 110.0 a pull-up resistor (50 k ? ) note 3 r pu v i = gnd 24.5 73.5 314.0 k ? pull-up resistor (5 k ? ) note 3 r pu v i = gnd 3.4 7.4 41.3 k ? p ull-down resistor (50 k ? ) note 3 r pd v i = v dd 24.5 73.5 314.0 k ? output voltage, low v ol i ol = 0 ma 0.1 v (cmos-level output) output voltage, high v oh i oh = 0 ma v dd ?0.1 v (cmos-level output) output current, low (cmos-level output) 1.0 ma type i ol v ol = 0.4 v 1.00 note 4 ma 3.0 ma type i ol v ol = 0.4 v 3.00 ma 6.0 ma type i ol v ol = 0.4 v 6.00 ma 9.0 ma type i ol v ol = 0.4 v 9.00 ma 12.0 ma type i ol v ol = 0.4 v 12.00 ma 18.0 ma type i ol v ol = 0.4 v 18.00 ma output current, high (cmos-level output) 1.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 3.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 6.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 9.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 12.0 ma type i oh v oh = v dd ?0.4 v ?2.00 ma 18.0 ma type i oh v oh = v dd ?0.4 v ?8.00 ma notes 1. static current consumption increases when an i/o block with an on-chip pull-up/pull-down resistor and an oscillator are used. see chapter 4 estimating electrical characteristics f or details. 2. the output short-circuit time is less than one second and for only one lsi pin. 3. the pull-up resistor and pull-down resistor values vary depending on the input and output voltages. 4. this value is 0.8 ma if a pull-up resistor of 5 k ? is connected. remark the + and ?signs of the current v alues in the tab le indicate the direction of the current. current flo wing into a de vice is indicated b y +; current flo wing out is indicated b y ?
106 chapter 3 product specifications design manual a13826ej7v0dm 3.4.3 ac characteristics ta bl e 3-10 shows the ac characteristics. the maximum operating clock frequency (f max ) of the internal cell toggle flip-flop is the value of the toggle frequency (f tog ) in the table. note that the f max va r ies in the actual circuit according to the circuit configuration. ta b le 3-10. ac characteristics (v dd = 3.0 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit maximum toggle frequency f tog internal toggle f/f f an-outs = 2, wiring length = 0 mm 120 propagation delay time t pd internal gate f an-outs = 2, wiring length = 2 mm 0.42 ns f an-outs = 1, standard wiring length 0.23 ns f an-outs = 2, standard wiring length 0.26 ns internal gate (low power gate) fa n-outs = 1, standard wiring length 0.30 ns f an-outs = 1, wiring length = 0 mm 0.20 ns input buffer f an-outs = 1, standard wiring length 0.34 ns f an-outs = 2, wiring length = 2 mm 0.47 ns output buffer (fo01) c l = 15 pf 1.92 ns output rise time t r output buffer (fo01) c l = 15 pf 1.76 ns output fall time t f output buffer (fo01) c l = 15 pf 2.16 ns
107 chapter 3 product specifications design manual a13826ej7v0dm 3.5 specification 2 (v dd = 3.3 0.3 v, t a = ?0 to +85 c) 3.5.1 recommended operating range ta b le 3-11. recommended operating range (v dd = 3.3 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit po wer supply voltage v dd 3.0 3.3 3.6 v input voltage, high v ih cmos interface 0.8v dd v dd v input voltage, low v il 0.0 0.2v dd v p ositive trigger voltage v p schmitt input 1.95 2.60 v negative trigger voltage v n 0.75 1.20 v hysteresis voltage v h 0.90 1.57 v input voltage, high v ih ttl interface 2.0 v dd v input voltage, low v il 0.0 0.8 v p ositive trigger voltage v p schmitt input v negative trigger voltage v n under study v hysteresis voltage v h v input rise time t ri normal input 0 200 ns input fall time t fi 0 200 ns input rise time t ri schmitt input 0 10 ms input fall time t fi 010ms remark when inputting a slow signal with a long rise/fall time, noise on the signal line may affect the operation, so be sure to use a schmitt trigger input buffer. because fluctuation on the power supply line due to simultaneous operation of output buffers reduces the capability of the schmitt trigger input buffer, carefully determine pin placement.
108 chapter 3 product specifications design manual a13826ej7v0dm 3.5.2 dc characteristics ta b le 3-12. dc characteristics (v dd = 3.3 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit static current consumption note 1 i dds v i = v dd or gnd 54.7 a off-state output current i oz v o = v dd or gnd 8 a output short-circuit current note 2 i os v o = gnd ?00 ma input leakage current normal input i i v i = v dd or gnd 6 10 ? 8 a with pull-up resistor (50 k ? )i i v i = gnd 14.5 59.7 135.0 a with pull-up resistor (5 k ? )i i v i = gnd 0.10 0.49 0.95 ma with pull-down resistor (50 k ? )i i v i = v dd 14.5 59.7 135.0 a pull-up resistor (50 k ? ) note 3 r pu v i = gnd 22.2 55.3 248.3 k ? pull-up resistor (5 k ? ) note 3 r pu v i = gnd 3.2 6.7 36.0 k ? pull-down resistor (50 k ? ) note 3 r pd v i = v dd 22.2 55.3 248.3 k ? output voltage, low v ol i ol = 0 ma 0.1 v (cmos-level output) output voltage, high v oh i oh = 0 ma v dd ?0.1 v (cmos-level output) output current, low (cmos-level output) 1.0 ma type i ol v ol = 0.4 v 1.00 note 4 ma 3.0 ma type i ol v ol = 0.4 v 3.00 ma 6.0 ma type i ol v ol = 0.4 v 6.00 ma 9.0 ma type i ol v ol = 0.4 v 9.00 ma 12.0 ma type i ol v ol = 0.4 v 12.00 ma 18.0 ma type i ol v ol = 0.4 v 18.00 ma output current, high (cmos-level output) 1.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 3.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 6.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 9.0 ma type i oh v oh = v dd ?0.4 v ?.00 ma 12.0 ma type i oh v oh = v dd ?0.4 v ?2.00 ma 18.0 ma type i oh v oh = v dd ?0.4 v ?8.00 ma notes 1. static current consumption increases when an i/o block with an on-chip pull-up/pull-down resistor and an oscillator are used. see chapter 4 estimating electrical characteristics f or details. 2. the output short-circuit time is less than one second and for only one lsi pin. 3. the pull-up resistor and pull-down resistor values vary depending on the input and output voltages. 4. this value is 0.8 ma if a pull-up resistor of 5 k ? is connected. remark the + and ?signs of the current v alues in the tab le indicate the direction of the current. current flo wing into a de vice is indicated b y +; current flo wing out is indicated b y ?
109 chapter 3 product specifications design manual a13826ej7v0dm 3.5.3 ac characteristics ta bl e 3-13 shows the ac characteristics. the maximum operating clock frequency (f max ) of the internal cell toggle flip-flop is the value of the toggle frequency (f tog ) in the table. note that the f max va r ies in the actual circuit according to the circuit configuration. ta b le 3-13. ac characteristics (v dd = 3.3 0.3 v, t a = ?0 to +85 c) pa rameter symbol conditions min. typ. max. unit maximum toggle frequency f tog internal toggle f/f f an-outs = 2, wiring length = 0 mm 130 propagation delay time t pd internal gate f an-outs = 2, wiring length = 2 mm 0.39 ns f an-outs = 1, standard wiring length 0.22 ns f an-outs = 2, standard wiring length 0.24 ns internal gate (low power gate) fa n-outs = 1, standard wiring length 0.28 ns f an-outs = 1, wiring length = 0 mm 0.18 ns input buffer f an-outs = 1, standard wiring length 0.31 ns f an-outs = 2, wiring length = 2 mm 0.44 ns output buffer (fo01) c l = 15 pf 1.76 ns output rise time t r output buffer (fo01) c l = 15 pf 1.62 ns output fall time t f output buffer (fo01) c l = 15 pf 2.02 ns 3.6 pin capacitance the pin capacitance is the sum of the interface block capacitance and the package characteristic capacitance. table 3-14 shows the capacitance (c b ) of the interface blocks. table 3-15 shows the capacitance (c p ) of each package. the pin capacitance is calculated by the following formula: pin capacitance (c t ) = interface block capacitance (c b ) + capacitance of each package (c p )
110 chapter 3 product specifications design manual a13826ej7v0dm ta b le 3-14. capacitance of interface block (c b ) (a) input buffer interface level c b(min.) (pf) c b(max.) (pf) normal with failsafe normal with failsafe cmos 4.0 3.50 7.0 5.0 ttl 4.0 3.50 7.0 5.0 remark v dd = 0 v; t j = 25 c; f = 1 mhz (b) output buffer/bidirectional buffer interface level c b (pf) 3 ma 6 ma 9 ma 12 ma 18 ma 24 ma cmos min. 4.0 4.0 4.0 4.0 4.0 4.0 max. 7.0 7.0 7.0 7.0 7.0 7.0 remark v dd = 0 v; t j = 25 c; f = 1 mhz ta b le 3-15. capacitance of packages (c p ) (preliminary values) pa c kage number of pins lead pitch chip size c p (pf) qfp 160 0.5 mm 24 24 mm 1.5 (fine pitch) 208 0.5 mm 28 28 mm 1.9 240 0.5 mm 32 32 mm 2.0 304 0.5 mm 40 40 mm 2.8 tqfp 48 0.5 mm 7 7 mm 0.9 64 0.65 mm 12 12 mm 0.9 80 0.5 mm 12 12 mm 0.9 lqfp 44 0.8 mm 10 10 mm 0.7 100 0.5 mm 14 14 mm 1.0 160 0.5 mm 24 24 mm 1.5
111 design manual a13826ej7v0dm chapter 4 estimating electrical characteristics this chapter explains the methodology for calculating the power consumption and propagation delay time. 4.1 estimating static current consumption 4.1.1 estimating static current consumption in the cmos gate array, only a minute leakage current flows from the power supply to gnd in the standby state. if a dedicated oscillation block or an i/o buffer with an on-chip pull-up/pull-down resistor is not used, the static current consumption is equal to the leakage current. on the other hand, if an i/o buffer with an on-chip pull-up/pull-down resistor is used, the static current consumption increases due to direct current flowing through that resistor according to the signal level. in addition, when an on-chip feedback resistor-type oscillator is used and the oscillation is stopped by clamping the input pin, direct current flows into the feedback resistor, and as a result the static current consumption increases. to calculate static current consumption, use the following equation: i dds(max.) = i l + i pd m + i pu n + i rf k ( a) i l : leakage current (see figure 4-1 ) i pd : current consumption of 50 k ? on-chip resistor (see figure 4-2 ) i pu : current consumption of 5 k ? on-chip resistor (see figure 4-3 ) i rf : current consumption of the on-chip feedback resistor of the oscillator (under study) m: t otal of number of signal low levels in an i/o buffer with a 50 k ? on-chip pull-up resistor and number of signal high levels in an i/o buffer with a 50 k ? on-chip pull-down resistor n: number of signal low levels in an i/o buffer with a 5 k ? on-chip pull-up resistor k: number of oscillators
112 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-1. leakage current 1000 100 10 1 i l ( a) 02040608 0 100 120 v dd = 5.0 v 10% v dd = 3.3 0.3 v t j ( c)
113 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-2. current consumption of on-chip 50 k ? ? ? ? ? resistor (i pd ) figure 4-3. current consumption of on-chip 5 k ? ? ? ? ? resistor (i pu ) 0204 0608 0 100 ? 60 ? 40 ? 20 i pd ( a) 5.0 v 10% 3.3 0.3 v 3.0 0.3 v t a ( c) 350 300 250 200 150 100 50 ? 60 ? 40 ? 20 0 20 40 60 80 100 t a ( c) i pu ( a) 5.0 v 10% 3.3 0.3 v 3.0 0.3 v 2500 2000 1500 1000 500
114 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm calculation example when v dd = 5 v 10%, t a = 40 c, calculate the static current consumption under the following conditions. external pin signal level high low input with 5 k ? pull-up resistor 2 0 input with 50 k ? pull-down resistor 2 8 normal input 5 5 normal output 8 2 f rom figure 4-1, the leakage current is i l = 8.6 a. f rom figure 4-2, the current consumption in the 50 k ? pull-down resistor is i pd = 110 a. f rom the signal level, the current consumption in the 5 k ? pull-up resistor is i pu = 0.0 a. therefore, i dds = i l + i pd + i pu = 8.6 + 110 + 0.0 a = 118.6 a 4.2 input through current if the input voltage (v in ) is the same as the power supply voltage (v dd ), the input leakage current will be the same as the value listed in chapter 3 product specifications . however, if the input voltage is lower than the power supply voltage, or if the input voltage is higher than the gnd level, then a current will flow from the v dd line via the p-ch transistor and n-ch transistor into the gnd. this current is called the input through current. figures 4-4 to 4- 11 show the input through current (reference values) for each interface level.
115 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-4. input through current figure 4-5. input through current figure 4-6. input through current figure 4-7. input through current note this is a graph of the schmitt buffer with note this is a graph of the schmitt buffer with w in the last of the block name. w in the last of the block name. 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 5.0 v in (v) (v dd = 5.0 v cmos level) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 5.0 6.0 v in (v) (v dd = 5.0 v ttl level) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 5.0 6.0 v in (v) (v dd = 5.5 v cmos level schmitt note ) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 5.0 6.0 v in (v) (v dd = 5.5 v ttl level schmitt note )
116 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-8. input through current figure 4-9. input through current figure 4-10. input through current figure 4-11. input through current note this is a graph of the schmitt buffer with note this is a graph of the schmitt buffer with w in the last of the block name. w in the last of the block name. 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 v in (v) (v dd = 3.6 v cmos level) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 3.5 v in (v) (v dd = 3.3 v ttl level) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 4.0 v in (v) (v dd = 3.6 v cmos level schmitt note ) 7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 i i (ma) 0.0 1.0 2.0 3.0 3.5 v in (v) (v dd = 3.3 v ttl level schmitt note )
117 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm v dd i c + i o i c c l i d + i o i d 4.3 power consumption although cmos device transistors consume less power than bipolar devices, they still consume a considerable amount of power if the circuit scale is large and the operating frequency is high. because the temperature of an lsi (chip), which has a significant influence on the reliability (life) of the lsi, rises with the power consumption, it is necessary to hold the power consumption of the lsi below a maximum. 4.3.1 causes of power consumption as with standard cmos devices, the current consumption is the sum of the following values: charge current of load capacitance connected to each transistor: i c discharge current of load capacitance connected to each transistor: i d through current when each transistor is switching: i o leakage current of the device: i l because there is no charge, discharge, or through current when the lsi is not operating, the power consumption of the chip is determined by the leakage current of the entire device. in as much as the charge, discharge, and through currents become extremely large compared with the leakage current when the lsi is operating, the effect of leakage current can be ignored in the chip power consumption. when the output rise (fall) time of each transistor is extremely f ast compared with the input rise (fall) time, the through current increases greatly. however, the through current is normally proportional to the charge and discharge currents.
118 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.3.2 estimating power consumption po w er consumption is determined by the charge, discharge, and through currents of each transistor. however, as it is problematic to define each transistor state, a rough calculation of power consumption is made f or each type of block. the calculated results of the formulas shown below are values at v dd = 5 v and t a = 85 c; thus, adjustments must be made if the power supply or the temperature is different. t otal power consumption (p d ) p d = p dcell + p dm + p di + p do + p dcts + p osc (1) p dcell ............ internal cell power consumption (excluding the cells used by memory and interface block) p dcell = (4.52 note 1 f cell a) ( w) f: operating frequency (mhz) cell: number of cells operating at frequency f a: gate operating factor note 2 (2) p dm ................. memory block power consumption ram block power consumption p dram = (p rm f rm r rm + p wm f wm r wm ) (mw) p rm : unit power consumption during read (mw/mhz) note 3 f rm : operating frequency during read r rm : operating factor during read note 4 p wm : unit power consumption during write (mw/mhz) note 3 f wm : operating frequency during write r wm : operating factor during write note 4 (3) p di .................. input buffer and bidirectional buffer input power consumption p di = (p i f + p const ) buffer ( w) p i :p ow er consumption for each input buffer ( w/buffer/mhz) refer to tab le 4-1 . f: operating frequency (mhz) p const : constant power consumption note 6 buffer: number of input buffers and bidirectional buffer inputs operating at frequency f if input buffer operation is intermittent, use the average operating frequency (f a ) note 5
119 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta ble 4-1. power consumption by input buffer block type p i fi01 16.8470 fi02 17.4223 fis1 20.8117 fis2 17.9722 fia1 5.2705 fia2 5.3776 fie1 10.4223 fie2 7.4192 fis1w 12.3430 fis2w 10.6810 remark the same power consumption value is applied to the same type buffer.
120 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta b le 4-2. output buffer power consumption block type p o p co fo09 0.2257 0.0264 fo04 0.2374 0.0268 fo01 0.2984 0.0271 fo02 0.3829 0.0272 fo03 0.6270 0.0263 fo06 1.0067 0.0254 fe09 0.1675 0.0271 fe04 0.1621 0.0275 fe01 0.2461 0.0266 fe02 0.2598 0.0265 fe03 0.4336 0.0266 fe06 0.7298 0.0255 (4) p do ................. output buffer and bidirectional buffer output power consumption p do = {(p o + p co c l ) f + p const } buffer (mw) p o :p ow er consumption for each output buffer (without load) (mw/mhz) refer to tab le 4-2 . p co :p ow er consumption for each output buffer (load dependent) (mw/mhz/pf) refer to tab le 4-2 . c l :l oad capacitance f: operating frequency (mhz) if output buffer operation is intermittent, use the average operating frequency (f a ) note 5 p const : constant power consumption note 6 buffer: number of output buffers and bidirectional buffer outputs operating at frequency f
121 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm (5) p dcts .. clock tree synthesis power consumption p dcts = p cts ( w) f: operating frequency (mhz) ff: number of flip-flops fc42: p cts = 6.73 (ff 0.057) f fc82: p cts = 6.73 (ff 0.114) f fc44: p cts = 6.73 (ff 0.077) f fc84: p cts = 6.73 (ff 0.154) f (6) p osc .. oscillator power consumption p osc = p osc1 n umber of oscillators (1 or 2) (mw) p osc1 : po wer consumption per one oscillator (mw/mhz) the power consumption of the oscillator is shown in table 4-3. the values in table 4-3 are reference values because the power consumption of the oscillator varies greatly depending on the resonator and constant. ta ble 4-3. oscillator power consumption (reference values) (v dd = 5.0 v 10%, t a = ?0 to +85 c) f requency external constant used duty v start v hold p oscs (mhz) c in (pf) c out (pf) lt ( h) ct (pf) (%) (v) (v) (mw) 4 100 100 53.0 1.97 1.97 27.50 86 86 8 51.2 1.23 1.23 33.00 16 39 39 50.7 2.23 2.20 63.25 32 15 15 53.6 2.17 2.14 107.25 48 1 15 3.3 68 50.4 2.56 2.47 123.75 50 5 5 48.3 3.77 3.58 154.00 v start : oscillation start voltage v hold : oscillation hold voltage evaluation using an evaluation sample is required to determine the power consumption. the oscillator configuration diagram is shown in figure 4-12. figure 4-12. oscillator configuration diagram r f c in c out r d
122 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 03 07 0 100% read write standby t m t t notes 1. the power consumption per cell ( w/cell/mhz) is specified under the following conditions: <1> assume that the ratio of combination circuits, flip-flops, and latches in the circuit is as follows: gates : flip-flops : latches = 0.5 : 0.4 : 0.1. <2> assume that the data frequency of latches is 1 mhz and that they operate 40% of the total time that the gates are active. <3> assume that the clock frequency of flip-flops is 1 mhz and the data frequency is 0.25 mhz. <4> assume that the load of each output is f/i = 2, l = 1.64 (f/i equivalent). see appendix a power consumption (preliminary) if conditions have been modified to review the power consumption. 2. gate operating factor this is the percentage of the cells of the entire circuit that are operating in the same general time period. f or example, if 30% of the gates of a circuit are operating in the same period, the operating factor is 0.3. 3. unit power consumption (under study) the numerical values are listed in 4.3.3 unit power consumption of memory . 4. write and read operating factors f or example, if the ram operating percentage is as shown in the figure above, then, r rm = 0.3 and r wm = 0.4 5. av erage operating frequency (f a ) if operation is intermittent, the average operating frequency (f a ) can be investigated. f a = f m t m t t t m :a ctual operating interval t t : intermittent operating cycle f m : operating frequency of actual operating interval
123 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm outside lsi i ol r i ol v pu v o v pu : pull-up voltage notes 6. constant power consumption if direct current is flowing through the input, output, and bidirectional buffers, a constant power consumption is added. example 1. direct current via the pull-up/pull-down resistor p const = (v dd 2 /r) a v dd :p ow er supply voltage r: pull-up/pull-down resistance use a typical value if the resistor is incorporated in the lsi a: operating factor low-level percentage when using a pull-up resistor, or high-level percentage when using a pull-down resistor the user should specify the operating factor based on the circuit specifications example 2. to drive items that require a large current, such as leds p const = v o i o a v o : output voltage i o : output current a: percentage of led on time
124 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm unit: mw/mhz ram name p wm p rm rbhh 5.880 3.04 rbkb 2.756 1.680 rbkd 5.512 3.360 rbkf 2.895 1.795 rbkh 5.790 3.590 unit: mw/mhz ram name p wm p rm rb47 0.261 0.188 rb49 0.285 0.198 rb4b 0.570 0.396 rb4d 0.336 0.191 rb4f 0.672 0.382 rb4h 1.344 0.764 rb4m 2.688 1.528 rb4s 5.376 3.056 rb87 0.522 0.376 rb89 0.570 0.396 rb8b 0.579 0.359 rb8d 0.672 0.382 rb8f 0.735 0.380 rb8h 1.470 0.760 rb8m 2.940 1.520 rbab 0.689 0.420 rbad 1.378 0.840 rbaf 2.756 1.680 rbah 5.512 3.360 rbc7 1.044 0.752 rbc9 1.140 0.792 rbcb 1.158 0.718 rbcd 1.344 0.764 rbcf 1.470 0.760 rbch 2.940 1.520 rbcm 5.880 3.04 rbeb 1.378 0.840 rbed 2.756 1.680 rbef 5.512 3.360 rbeh 11.024 6.720 rbh7 2.088 1.504 rbh9 2.280 1.584 rbhb 2.316 1.436 rbhd 2.688 1.528 rbhf 2.940 1.520 remark p wm :p ow er consumption during write operation p rm :p ow er consumption during read operation 4.3.3 unit power consumption of memory in the calculation formula for memory block power consumption in 4.3.2 estimating power consumption , use the f ollowing values. (1) single-port ram
125 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm (2) dual-port ram unit: mw/mhz ram name p wm p rm r947 0.256 0.079 r949 0.280 0.085 r94b 0.560 0.170 r94d 0.314 0.075 r94f 0.628 0.150 r94h 1.256 0.300 r987 0.512 0.158 r989 0.560 0.170 r98b 0.561 0.176 r98d 0.628 0.150 r98f 0.630 0.182 r9ab 0.654 0.211 r9ad 1.308 0.422 r9c7 1.024 0.316 r9c9 1.120 0.340 r9cb 1.122 0.352 r9cd 1.256 0.300 r9cf 1.260 0.364 r9eb 1.308 0.422 r9ed 2.616 0.844 r9h7 2.048 0.632 r9h9 2.240 0.680 r9hb 2.244 0.704 r9kb 2.616 0.844 remark p wm :p ow er consumption during write operation p rm :p ow er consumption during read operation
126 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.3.4 compensation method the results calculated by the formulas in 4.3.2 estimating power consumption are values for v dd = 5.0 v and t a = 85 c. if different power supply or operating ambient temperature specifications are used, adjustments must be calculated using the following equation. p w = (p d k 1 + p const k 2 ) k 3 p d : calculated result of total power consumption (including constant power consumption) p const : sum of constant power consumption only k 1 : compensation coefficient (refer to tab le 4-4 ) k 2 : compensation coefficient (refer to tab le 4-4 ) k 3 : compensation coefficient (refer to tab le 4-4 ) the typ. value is usually used to determine the power consumption. however, the max. value is used when high reliability is demanded. the max. value can also be used to calculate the maximum power consumption value in each power supply and temperature specification range. ta b le 4-4. compensation coefficient (k 1 , k 2 , k 3 ) (a) v dd = 5.0 v 10%, t a = ?0 to +85 c typ. value max. value compensation coefficient (k 1 ) 1.00 1.40 compensation coefficient (k 2 ) 0.00 0.15 compensation coefficient (k 3 ) 1.00 1.00 (b) v dd = 3.3 0.3 v, t a = ?0 to +85 c typ. value max. value compensation coefficient (k 1 ) 1.0000 1.4000 compensation coefficient (k 2 ) 0.0000 0.1500 compensation coefficient (k 3 ) 0.4356 0.4356 (c) v dd = 3.0 0.3 v, t a = ?0 to +85 c typ. value max. value compensation coefficient (k 1 ) 1.00 1.40 compensation coefficient (k 2 ) 0.00 0.15 compensation coefficient (k 3 ) 0.36 0.36
127 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.3.5 determining power consumption the power consumption is determined on the basis of whether or not the calculated power consumption (p d ) is within the maximum allowable power consumption (p wl ) specified for each package and master. the maximum allowable power consumption (p wl ) specified for each package and master is listed in cmos gate array, embedded array package design manual (a16400e) . p d p wl the values in cmos gate array, embedded array package design manual (a16400e) are for t a = ?0 to +85 c with natural convection. if a different maximum operating ambient temperature is used, the maximum allowable power consumption for the environment used must be calculated by means of the maximum junction temperature (t j (max.) ), the maximum ambient temperature (t a (max.) ), and the thermal resistance ( ja ) specified for each package and master. the thermal resistance ( ja ) for each package and master is listed in the tables concerning thermal resistance in cmos gate array, embedded array package design manual (a16400e) . thermal resistance was measured under the conditions of a 90 90 mm by 1.6 mm thick sample mounted on a glass-epoxy circuit board. p wl = (t j (max.) ?t a (max.) ) (w) ja condition: t a (max.) 40 c
128 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.4 propagation delay time 4.4.1 accuracy of propagation delay time the propagation delay time (t pd ) of a cmos gate array fluctuates due to i/o buffers, internal function blocks, and the following factors: f actors fluctuating propagation delay time load capacitance (number of fan-outs, wiring capacitance) ? ow er supply voltage operating ambient temperature manufacturing variation other circuit-based factors circuit-based causes other than those related to power supply voltage, operating ambient temperature, and load capacitance include: fluctuation due to the input signal waveform, fluctuation in the equivalent input capacitance of the transfer gate, the miller effect, and fluctuation in the input threshold voltage. nec electronics has introduced delay simulators and static delay calculators, taking these fluctuation factors into consideration as much as possible, so that a more precise propagation delay can be calculated. thus, rough calculations of propagation delay time made by the user may not match the numerical values listed in the cmos-n5 series (5.0 v) block library (a13872e) and cmos- n5 series (3.3 v) block library (a15895e) .
129 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm block a block b 4.4.2 calculation in propagation delay time the calculation formula shown below is rough and simplified. the calculation results are comparatively accurate f or a load range that satisfies the following conditions. the larger the load capacitance, the larger the error becomes and the smaller the calculated numerical result of the simulator becomes. with this prior understanding, this formula can be used as a guide. conditions the sum of the prestage f/i of the block, which is the object of the delay calculation, is within 15% of the f/o limit of the prestage drive block. example let block b be the object of the propagation calculation. the accuracy of the simplified calculation formula is high when the sum of the f/i connected to the output of block a is within 15% of the block a f/o limit. if these details or the above conditions are not applicable, see appendix b propagation delay time for methods to improve the calculation accuracy. the delay data for each block that is needed for the calculation is listed in the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) . (1) input buffer and internal function block delay time the delay time of the internal function block and memory blocks can be calculated roughly from the load (number of fan-outs) connected to that output pin and the wiring length (wiring capacitance). t pd = t ld0 + ( f/o + l) t 1 (ns) t ld0 : delay time of the block itself with f/o = 0 and l = 0 f/o: number of fan-outs of the relevant output pins l: wiring capacitance connected to the relevant output pins (see 4.4.3 estimating wiring capacitance ) t 1 : delay coefficient of the relevant output pins (2) internal bus delay time t pd = t ld0 + { f/o + l + (n ?1) 1.38} t 1 (ns) t ld0 : delay time of the block itself with f/o = 0 and l = 0 f/o: number of fan-outs connected to the bus n: sum of 3-state output buffers (f531, f532) connected to the bus l: wiring capacitance connected to the relevant output pins (see 4.4.3 estimating wiring capacitance ) t 1 : delay coefficient of the relevant output pins
130 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm (3) output buffer delay time using the following equation, the output buffer delay time can be roughly calculated from the load capacitance connected to the output pin. t pd = t ld0 + t c l (ns) t ld0 : delay time of the block itself with c l = 0 pf c l : load capacitance connected to the relevant output pin t: delay coefficient of the relevant output pin the i/o buffer delay time is calculated under the following condition. cmos level interface: threshold voltage = 1/2v dd
131 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta b le 4-5. wiring capacitance estimate (wiring length converted to f/i value) (1/2) master pin-pair count 123456 pd65891 1.297 2.613 3.929 5.245 6.560 7.876 pd65880 1.621 3.266 4.911 6.556 8.200 9.845 pd65881 1.641 3.356 5.070 6.785 8.500 10.214 pd65892 1.674 3.423 5.171 6.921 8.670 10.418 pd65882 1.684 3.552 5.421 7.289 9.158 11.027 pd65894 1.718 3.623 5.529 7.435 9.341 11.248 pd65883 1.730 3.767 5.803 7.840 9.876 11.913 pd65884 1.757 3.892 6.026 8.161 10.295 12.430 pd65885 1.780 3.997 6.213 8.430 10.647 12.863 pd65887 1.819 4.175 6.532 8.889 11.245 13.602 pd65889 1.861 4.372 6.883 9.393 11.904 14.414 pd65890 1.904 4.569 7.233 9.897 12.562 15.226 pd65893 1.943 4.747 7.552 10.356 13.160 15.965 (2/2) master pin-pair count 7891011 to 15 16 to 20 pd65891 9.192 10.508 11.823 13.139 19.718 26.297 pd65880 11.490 13.135 14.779 16.424 24.648 32.871 pd65881 11.929 13.644 15.358 17.073 25.647 34.220 pd65892 12.168 13.917 15.665 17.414 26.160 34.904 pd65882 12.895 14.764 16.632 18.501 27.844 37.187 pd65894 13.153 15.059 16.965 18.871 28.401 37.931 pd65883 13.949 15.986 18.022 20.059 30.241 40.424 pd65884 14.564 16.699 18.833 20.967 31.640 42.312 pd65885 15.080 17.297 19.513 21.730 32.813 43.897 pd65887 15.958 18.315 20.672 23.028 34.811 46.594 pd65889 16.925 19.435 21.946 24.456 37.009 49.561 pd65890 17.891 20.555 23.220 25.884 39.206 52.528 pd65893 18.769 21.574 24.378 27.182 41.204 55.226 4.4.3 estimating wiring capacitance since placement and routing is performed on the master based on the circuit connection information, the physical wiring length that is connected as a function block load is unknown before placement and routing of the gate array. therefore, an estimation of the wiring lengths is made in order to calculate the propagation delay time before placement and routing. the wiring length estimation is calculated statistically based on the results of actual layouts, and most of the wiring length (70% of all routing) becomes shorter than the value specified as an assumed wiring length. ta b le 4-5 shows estimated values of assumed wiring capacitances for the cmos-n5 series. placement and routing are executed for each hierarchical macro (top hierarchy). consequently, wiring lengths within macros are shorter than wiring lengths between macros. the assumed wiring length is treated by the delay simulator in two categories: intramacro and intermacro. table 4-5 shows top hierarchy intermacro estimates.
132 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.4.4 fluctuation in propagation delay time the propagation delay time (t pd ) fluctuates due to i/o buffers and internal function blocks, and a variety of other reasons, as described in 4.4.1 accuracy of propagation delay time . the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) indicates the minimum and maximum values under the conditions: v dd = 5.0 v 10%/v dd = 3.3 0.3 v and t a = ?0 to +85 c (t j = ?0 to +125 c) the difference between the typical value and these values is called the degrading factor. the propagation delay coefficient is listed for the minimum, typical, and maximum specifications in the cmos-n5 series. with the cmos-n5 series, the degrading factor of each block is studied to improve the accuracy of calculation of the propagation delay time. therefore, a uniform degrading factor cannot be used, unlike with conventional products. however, figure 4-13 (a) through (c) shows, for reference, the dependency of the delay coefficient on the power supply v oltage and operating junction temperature. the coefficient of the degrading factor can be recalculated by limiting the operating ambient temperature and power consumption (for example, by limiting the temperature rise due to power consumption to about 10 c). the operating junction temperature when the operating ambient temperature or power consumption is limited can be calculated by the formula below. the lower the operating junction temperature, the closer to 1 the coefficient of the degrading factor (if the operating junction temperature is limited to 100 c, the delay time is 5% shorter than when the operating junction temperature is limited to 125 c). t j = t a(max.) + p d ja ( c) t j : operating junction temperature t a(max.) : maximum value of operating ambient temperature p d :p ow er consumption estimated by the calculation formula in 4.3.2 estimating power consumption ja : thermal resistance (see the tables concerning thermal resistance in cmos gate array, embedded array package design manual (a16400e) ) please note that since figure 4-13 (a) through (c) shows the average values of the delay distribution (variations in the process are already included in the value of the power supply voltage), the guaranteed values are the result of simulation . reference data r max = r v(max.) r t(max.) r min = r v(min.) r t(min.) t pd(max) = t pd(typ.) r max. t pd(min) = t pd(typ.) r min. standard specification: cmos interface condition (v dd = 5.0 v 10%, t a = ?0 to +85 c (t j = ?0 to +125 c)) r max. = 2.05 r min. = 0.64
133 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm calculation example derive r max ./r min . f or v dd = 5.0 v 10 % and t a = ?0 to +85 c (t j = ?0 to +120 c). (1) derive r v from figure 4-13 (a) and (b): r v (max.) = 1.44 r v (min.) = 0.62 (2) next, derive r t from figure 4-13 (c): r t (max.) = 1.42 r t (min.) = 1.04 (3) accordingly, it follows that, r max . = r v (max.) r t (max.) = 1.44 1.42 r min . = r v (min.) r t (min.) = 0.62 1.04 r max . = 2.044 r min . = 0.645
134 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-13. propagation delay time (a) v dd dependency (max.) 1.50 1.45 1.40 1.35 1.30 1.25 1.20 4.5 4.75 5.0 5.25 5.5 v dd (v) voltage coefficient r v r v (max.) = 3.283 ? 0.4094v dd 1.4407 1.236 (b) v dd dependency (min.) 0.80 0.78 0.76 0.74 0.72 0.70 0.68 0.66 0.64 0.62 0.60 4.5 4.75 5.0 5.25 5.5 v dd (v) voltage coefficient r v r v (min.) = 2.261 ? 0.2978v dd 0.623 0.772 (c) t j dependency 1.30 1.20 1.10 1.00 0.90 0.80 0 ? 40 25 40 85 t j ( c) temperature coefficient r t ? 40 t j 25 cr t (min.) = 0.948 + 0.0021t j 25 t j 85 cr t (max.) = 0.8879 + 0.0045t j 0.864 1.2704 60 100
135 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm min. (min.) min. (max.) typ. (min.) typ. (typ.) typ. (max.) max. (min.) max. (max.) t pd (min.) t pd (min.) t pd (typ.) (1 ? ) 1 ? 1 + 1 + 1 ? t pd (typ.) t pd (typ.) (1 + ) t pd (max.) t pd (max.) in addition to the degrading factor applicable from the device specification, there is also the relative variation generated by the chips internal paths and by the manufacture of the p-ch and n-ch transistors. this relative variation is an important factor in verifying the timing of the circuit. the cmos-n5 seriess relative variation is as follows (this also applies when the power supply voltage specification is 3.3 v). relative variation = 10% figure 4-14 shows the variation with t pd(typ.) as the typical value. figure 4-14. t pd v ariation
136 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.5 output buffer characteristics 4.5.1 output buffer rise and fall times the rise and fall times of the output buffer vary greatly according to differences in the drive capability due to the output level and to the connected load capacitance. the output buffer rise and fall times (t r , t f ) can be calculated as f ollows: t r = t r0 + f tr c l (ns) t f = t f0 + f tf c l (ns) t r0 : reference rise time (load capacitance, c l = 0 pf) t f0 : reference fall time (load capacitance, c l = 0 pf) f tr , f tf : load capacitance coefficient c l : load capacitance (pf) (0 < c l 300 pf) refer to tables 4-6 and 4-7 for output buffer coefficients.
137 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta b le 4-6. t r and t f calculation coefficients of output buffer (v dd = 5.0 v, t a = 25 c) buffer type output level drive capability t r0 f tr t f0 f tf normal type cmos i ol = 3.0 ma 0.817 0.1562 1.279 0.2654 i ol = 6.0 ma 0.611 0.1035 0.716 0.1319 i ol = 9.0 ma 0.502 0.0611 0.603 0.0867 i ol = 12.0 ma 0.388 0.0510 0.443 0.0651 i ol = 18.0 ma 0. 394 0.0345 0.494 0.0426 i ol = 24.0 ma 0.413 0.0266 0.623 0.0305 low-noise type cmos i ol = 3.0 ma 1.210 0.1532 1.554 0.2621 i ol = 6.0 ma 1.112 0.1018 1.118 0.1296 i ol = 9.0 ma 1.097 0.0631 0.990 0.0876 i ol = 12.0 ma 1.116 0.0542 0.938 0.0678 i ol = 18.0 ma 1.213 0.0415 0.935 0.0492 i ol = 24.0 ma 1.333 0.0352 1.013 0.0389 remark the rise and fall times of the output buffer are specified by the following conditions: cmos level = v dd 10% to v dd 90%, input signal t r , t f = 0.4 ns (v dd = 5.0 v) ta b le 4-7. t r and t f calculation coefficients of output buffer (v dd = 3.3 v, t a = 25 c) buffer type output level drive capability t r0 f tr t f0 f tf normal type cmos i ol = 3.0 ma i ol = 6.0 ma 0.817 0.1562 1.279 0.2654 i ol = 9.0 ma 0.611 0.1035 0.716 0.1319 i ol = 12.0 ma 0.502 0.0611 0.603 0.0867 i ol = 18.0 ma 0.388 0.0510 0.443 0.0651 i ol = 24.0 ma 0. 394 0.0345 0.494 0.0426 low-noise type cmos i ol = 3.0 ma i ol = 6.0 ma 1.210 0.1532 1.554 0.2621 i ol = 9.0 ma 1.112 0.1018 1.118 0.1296 i ol = 12.0 ma 1.097 0.0631 0.990 0.0876 i ol = 18.0 ma 1.116 0.0542 0.938 0.0678 i ol = 24.0 ma 1.213 0.0415 0.935 0.0492 remarks 1. the rise and fall times of the output buffer are specified by the following conditions: cmos level = v dd 10% to v dd 90%, input signal t r , t f = 0.4 ns (v dd = 3.3 v) 2. blank: under study
138 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.5.2 recommended load capacitance range of output buffers the maximum allowable load capacitance c l(max.) of the output buffer should be c l(max.) 300 pf. in addition, tables 4-8 and 4-9 show the recommended load capacitance range for each drive capability of the output buffer. the optimal output buffer based on tables 4-8 and 4-9 should be selected. in particular, if a load capacitance outside the recommended range is used, it must be noted that the overshoot and undershoot generated in the output signal increases if a lower load capacitance is used. ta b le 4-8. recommended load capacitance ranges of output buffers (@5.0 v) buffer type output level i ol (ma) recommended load example of capacitance range (pf) corresponding block normal type cmos 3.0 0 to 40 fo09 6.0 0 to 110 fo04 9.0 25 to 130 fo01 12.0 100 to 210 fo02 18.0 120 to 300 fo03 24.0 170 to 300 fo06 low-noise type cmos 3.0 0 to 40 fe09 6.0 0 to 100 fe04 9.0 15 to 150 fe01 12.0 20 to 200 fe02 18.0 50 to 200 fe03 24.0 40 to 210 fe06 ta b le 4-9. recommended load capacitance ranges of output buffers (@3.3 v) buffer type output level i ol (ma) recommended load example of capacitance range (pf) corresponding block normal type cmos 3.0 0 to 20 fo09 6.0 0 to 40 fo04 9.0 0 to 110 fo01 12.0 25 to 130 fo02 18.0 100 to 210 fo03 24.0 120 to 300 fo06 low-noise type cmos 3.0 0 to 20 fe09 6.0 0 to 40 fe04 9.0 0 to 100 fe01 12.0 15 to 150 fe02 18.0 20 to 200 fe03 24.0 50 to 200 fe06
139 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.5.3 maximum operating frequency of output buffers the maximum operating frequency of the output buffer is determined by the drive capability and the load capacitance. as explained in 4.5.2 recommended load capacitance range of output buffers , there are recommended ranges f or load capacitance. the shaded parts of the graphs in figures 4-15 and 4-16 correspond to these ranges. the parts to the right of the shaded part can be used if there are no problems with the propagation delay time, rise time, and fall time. on the other hand, be aware that the overshoot and undershoot in the parts to the left of the shaded part are large. figure 4-15. f max. vs. c l limit (cmos level output) (1/2) (a) i ol = 3.0 ma (@3.3 v) (b) i ol = 3.0 ma (@5.0 v) i ol = 6.0 ma (@3.3 v) (c) i ol = 6.0 ma (@5.0 v) (d) i ol = 9.0 ma (@5.0 v) i ol = 9.0 ma (@3.3 v) i ol = 12.0 ma (@3.3 v) 100 10 1 output maximum operating frequency f max. (mhz) 050 100 150 200 output load capacitance c l (pf) 250 300 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) output load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf)
140 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-15. f max. vs. c l limit (cmos level output) (2/2) (e) i ol = 12.0 ma (@5.0 v) (f) i ol = 18.0 ma (@5.0 v) i ol = 18.0 ma (@3.3 v) i ol = 24.0 ma (@3.3 v) (g) i ol = 24.0 ma (@5.0 v) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf)
141 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-16. f max. vs. c l limit (cmos level low-noise output) (1/2) (a) i ol = 3.0 ma (@3.3 v) (b) i ol = 3.0 ma (@5.0 v) i ol = 6.0 ma (@3.3 v) (c) i ol = 6.0 ma (@5.0 v) (d) i ol = 9.0 ma (@5.0 v) i ol = 9.0 ma (@3.3 v) i ol = 12.0 ma (@3.3 v) 100 10 1 050 100 150 200 250 300 output maximun operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf)
142 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-16. f max. vs. c l limit (cmos level low-noise output) (2/2) (e) i ol = 12.0 ma (@5.0 v) (f) i ol = 18.0 ma (@5.0 v) i ol = 18.0 ma (@3.3 v) i ol = 24.0 ma (@3.3 v) (g) i ol = 24.0 ma (@5.0 v) 100 10 1 050 100 150 200 250 300 output maximun operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf) 100 10 1 050 100 150 200 250 300 output maximum operating frequency f max. (mhz) load capacitance c l (pf)
143 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.5.4 output buffer output current (i ol , i oh ) nec electronics defines the output current of a cmos gate array at v ol = 0.4 v and v oh = v dd ?0.4 v. however, there are cases in which the v ol and v oh that are used differ for actual applications. in such cases, the coefficients shown in (1) to (3) below should be used in estimating the i ol and i oh characteristics in accordance with the actual conditions. output current calculation i ol = i ol k v k t (ma) i oh = i oh k v k t (ma) i ol :i ol specification when v ol = 0.4 v k v :v oltage coefficient k t :t emperature coefficient (1) dependency on power supply voltage the dependency on the power supply voltage is shown in figure 4-17. 1.20 1.15 1.10 1.05 1.00 4.0 4.5 5.0 5.5 6.0 v dd (v) voltage coefficient (k v ) figure 4-17. v dd dependency of i ol / i oh
144 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm (2) dependency on operating ambient temperature the dependency on the operating ambient temperature is shown in figure 4-18. figure 4-18. t a dependency of i ol / i oh 1.7 1.6 1.5 1.4 1.3 1.2 1.1 1.0 ? 40 ? 20 0 20 4 06080 temperature coefficient (k t ) t a ( c) (3) dependency on output voltage v ol = 0.4 to 0.6 v, v oh = (v dd ?0.4 v) to (v dd ?0.6 v) ....... because i ol and i oh va ry almost proportionately to the output voltage, a direct approximation is possible. however, this excludes the i oh of the ttl level output buffer. equations for estimating the output buffer current i ol = i ol v ol /0.4 (ma) i oh = i oh (v dd ?v oh )/0.6 (ma) i ol :i ol specification when v ol = 0.4 v v ol :v ol va lue used i oh :i oh specification when v oh = (v dd ?0.4 v) v oh :v oh v alue used the i o vs. v o curves are shown in figures 4-19 and 4-20. the min. curve is shown for the conditions v dd = 4.5 v and t j = 125 c. the typ. curve is shown for the conditions v dd = 5.0 v and t j = 25 c. the max. curve is shown for the conditions v dd = 5.5 v and t j = ?0 c. the direct currents i oh and i ol that can actually be used should be within the absolute maximum ratings.
145 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-19. i o vs. v o (@5.0 v) (1/2) (1) i ol = 3 ma (a) i ol vs. v ol (b) i oh vs. v oh (2) i ol = 6 ma (a) i ol vs. v ol (b) i oh vs. v oh (3) i ol = 9 ma (a) i ol vs. v ol (b) i oh vs. v oh 0.0 1.0 30 25 20 15 10 5 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. v dd ?6.0 v dd ?5.0 0 ?0 ?0 ?0 ?0 ?0 ?0 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 60 50 40 30 20 10 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. v dd ?6.0 v dd ?5.0 0 ?0 ?0 ?0 ?0 ?00 ?20 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. 100 80 60 40 20 90 70 50 30 10 v dd ?6.0 v dd ?5.0 0 ?0 ?0 ?0 ?20 ?50 ?80 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min.
146 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-19. i o vs. v o (@5.0 v) (2/2) (4) i ol = 12 ma (a) i ol vs. v ol (b) i oh vs. v oh (5) i ol = 18 ma (a) i ol vs. v ol (b) i oh vs. v oh (6) i ol = 24 ma (a) i ol vs. v ol (b) i oh vs. v oh 0.0 1.0 120 100 80 60 40 20 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. v dd ?6.0 v dd ?5.0 0 ?0 ?0 ?0 ?20 ?50 ?80 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. 200 150 100 50 v dd ?6.0 v dd ?5.0 0 ?0 ?00 ?50 ?00 ?50 ?00 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 0 2.0 3.0 4.0 5.0 6.0 i ol (ma) v ol (v) max. min. typ. 250 200 150 100 50 typ. min. v dd ?6.0 v dd ?5.0 0 ?0 ?00 v dd ?4.0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) ?00 ?50 ?00 ?50 ?00 ?50 max.
147 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-20. i o vs. v o (@3.3 v) (1/2) (1) i ol = 3 ma (a) i ol vs. v ol (b) i oh vs. v oh (2) i ol = 6 ma (a) i ol vs. v ol (b) i oh vs. v oh (3) i ol = 9 ma (a) i ol vs. v ol (b) i oh vs. v oh v dd ?4.0 0 ? ?0 ?5 ?0 ?5 ?0 ?5 ?0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 30 25 20 15 10 5 0 2.0 3.0 4.0 i ol (ma) v ol (v) max. min. typ. v dd ?4.0 0 ?0 ?0 ?0 ?0 ?0 ?0 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) 0.0 1.0 50 40 30 10 20 0 2.0 3.0 4.0 i ol (ma) v ol (v) max. max. min. min. typ. typ. v dd ?4.0 0 ?0 ?0 ?0 ?0 ?00 ?20 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) 0.0 1.0 0 2.0 3.0 4.0 i ol (ma) v ol (v) max. min. typ. 80 40 20 max. typ. min. 70 60 50 30 10
148 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm figure 4-20. i o vs. v o (@3.3 v) (2/2) (4) i ol = 12 ma (a) i ol vs. v ol (b) i oh vs. v oh (5) i ol = 18 ma (a) i ol vs. v ol (b) i oh vs. v oh (6) i ol = 24 ma (a) i ol vs. v ol (b) i oh vs. v oh v dd ?4.0 0 ?0 ?0 ?0 ?0 ?00 ?20 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 100 90 80 70 60 50 40 30 10 20 0 2.0 3.0 4.0 i ol (ma) v ol (v) max. min. typ. v dd ?4.0 0 ?0 ?0 ?0 ?00 ?0 ?20 ?40 ?60 ?00 ?80 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) max. typ. min. 0.0 1.0 0 2.0 3.0 4.0 i ol (ma) v ol (v) 150 135 120 105 75 90 30 15 60 45 max. min. typ. 0.0 1.0 0 2.0 3.0 4.0 i ol (ma) v ol (v) 180 150 90 120 60 30 v dd ?4.0 0 ?0 ?00 v dd ?3.0 v dd ?2.0 v dd ?1.0 v dd i oh (ma) v oh (v) ?0 ?0 ?00 ?0 ?20 ?60 ?40 ?80 typ. min. max. max. min. typ.
149 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.6 restrictions to simultaneous operation of output buffers 4.6.1 malfunction due to simultaneous operation of outputs when the output buffer operates, a current that charges/discharges the output load capacitance flows between the load and lsi. if the current is too large, noise is generated in the power supply line, resulting in the malfunction of the system. there are two types of malfunctions: <1> the lsi malfunctions due to fluctuation in the lsi input threshold level <2> the next stage circuit malfunctions due to noise appearing at the lsi output pin the cause of malfunction due to simultaneous operation of output buffers is described as follows. the circuit in figure 4-21 (a) can be considered when lsi bs output buffer is switched from ? to ?? when this happens, the current discharged from the load flows to gnd via the power supply line of lsi b. as a result of this discharge current and the impedance of the gnd line, the power supply to the gnd line decreases and the gnd level (v g ) inside lsi b increases. if the output buffer switches from ? to ?? the current that charges the load capacitance flows, and noise is generated in the power supply line. as a result, v dd temporarily decreases. when many output buffers simultaneously operate, if the capacity of the load to be driven becomes large, the v oltage level inside the lsi chip fluctuates due to the charge/discharge current, which may result in malfunction, as shown in figure 4-21 (b) and (c). to prevent such malfunctions, the number of simultaneously operating output buffers must be limited. the number of output buffers that can simultaneously operate differs depending on the following five factors: <1> numbers of v dd and gnd <2> load capacity (c l ) <3> load drive capability of the output buffer to be used (i ol ) <4> type of input interface level <5> type of output interface level
150 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm lsi a lsi b lsi c v oa v thb v ob v thc i c l v g v dd v v dd v thb v oa t v g signal is input to lsi b v v dd v ob v thc t v g signal is input to lsi c figure 4-21. malfunction caused by simultaneous operation (a) circuit diagram v oa : output level of lsi a v ob : output level of lsi b v thb : input threshold level of lsi b v thc : input threshold level of lsi c v g : gnd level of lsi b (b) fluctuation in input threshold level of lsi b (c) generated noise moving to the output pin of lsi b
151 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.6.2 definitions output simultaneous operation is the switching of multiple output buffers in the same direction (h l, hz l or l h, hz h) within a fixed time (see table 4-10) as a result of conditions such as the buffer type and load capacitance. output simultaneous operation is counted for each operation, and the respective simultaneous operation limits apply independently. the following switching of signals is considered one output simultaneous operation group. (1) output signal switching from h l, hz l, x l, h x (2) output signal switching from l h, hz h, x h, l x remark hz: high impedance, x: undefined output signal switching from l hz and h hz is not counted as simultaneous operation. for bidirectional pins, operating that occurs during switching from input to output must also be considered. ta b le 4-10. reference time ranges for simultaneous operation (typ.) buffer type load capacitance c l ( pf) 0 c l 50 50 < c l 200 200 < c l 300 3.0 ma 2.5 ns 4.0 ns 6.0 ns 6.0 ma 3.0 ns 4.0 ns 6.0 ns 9.0 ma 3.0 ns 4.0 ns 6.0 ns 12.0 ma 3.0 ns 4.0 ns 6.0 ns 18.0 ma 3.0 ns 4.0 ns 6.0 ns 24.0 ma 3.0 ns 4.0 ns 6.0 ns 4.6.3 factors for the determination of simultaneous operation because noise generated by charge/discharge currents is the cause of malfunction, the number of simultaneously operating output pins is limited by the following factors: (1) drive capability of the output buffers (2) load capacitance (3) number of output simultaneous operation pins (4) number of lsi power supply pins (5) routing pattern of gnd and power supply on the circuit board (6) placement of the output simultaneous operation pins (7) input buffer types items (1), (2), and (3) specify the charge/discharge current, and item (7) specifies the lsis noise margin using the input buffer interface. items (4) and (5) restrict the inductance of the closed loop through which the charge/discharge current flows. therefore, these items cannot be specified quantitatively. the simultaneous operation limit specified by nec electronics has a default value for the impedance of this loop. it is therefore possible that noise will be g enerated, depending on the particular users circuit board layout. adequate noise countermeasures must be incorporated into the design of the circuit board .
152 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm internal block internal block dq combination circuit dq combination circuit dq combination circuit 4.6.4 simultaneous operation pins to be checked simultaneous operation of outputs should be checked for output buffer groups that meet the conditions explained below. conditions (1) when buffers are driven in parallel by a shared internal block, or one signal output from a shared internal block is split into multiple buffers due to the fan-out limit, and the buffers are driven in parallel. (2) when the output buffers are driven by sequential circuits operated by a shared control signal and when, due to the delay time of the combination circuits, the operation timing differential of the distributed output buffers is less than the simultaneous operation reference time for each buffer and load capacitance shown in table 4-10 reference time ranges for simultaneous operation (typ.) .
153 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm internal circuit internal circuit internal circuit lsi identical timing of output signals identical timing of input signals (3) when, due to identical timing of the external input, the timing differential of output buffer operation is less than the simultaneous operation reference time for each buffer and load capacitance shown in ta b le 4-10 reference time ranges for simultaneous operation (typ.) . determination of the simultaneous operation reference time (described in ta b le 4-10 reference time ranges fo r simultaneous operation (typ.) ) is performed by the simple total of the t ld0 (typ.) values listed in the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) . however, checking for simultaneous operation of outputs is not performed in those cases in which the following conditions apply: the operation timing differential of the output buffer is greater than the simultaneous operation reference time f or each buffer and load capacitance shown in ta ble 4-10 reference time ranges for simultaneous operation (typ.) . operation does not occur other than during initialization (set and reset). (because malfunctions due to simultaneous operation are absorbed by initialization.) 4.6.5 pin placement and simultaneous operation the size of closed loop inductance, through which the charge/discharge currents of the output buffers flow, determines the size of the generated noise. the inductance of this closed loop depends on the lsi pin placement and the circuit board on which the lsi is mounted. care must be taken in placing pins in order to control noise caused by simultaneous operation. as far as possible, avoid placing input pins in the output buffers that operate simultaneously. locate input pins (especially clock input pins) susceptible to noise as close to the gnd pin as possible. separate these pins as far as possible from output buffers that operate simultaneously. separate output buffers that operate simultaneously as far as possible from the input pins, and enclose them by gnd pins. if it is difficult to enclose output buffers that operate simultaneously by gnd pins, disperse the buffers as much as possible. in any case, separate the output buffers that operate simultaneously as far as possible from the input pins. increase the number of gnd/v dd pins at a rate of one v dd to two gnd.
154 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.6.6 three-gnd-pin determination by this method, a determination is made not for the number of gnd and v dd pins of the lsi, but for three gnd pins. therefore, locations at which simultaneous operation is concentrated can be taken into consideration. simultaneous operation is assessed by the output buffer type, output load capacitance, and the number of valid gnd pins. table 4-11 shows the number of pins that can operate simultaneously between three valid gnd pins. because the permissible number of simultaneous operation pins when a 12 ma output buffer is used is shown in this table, calculate the permissible number of pins by using the coefficient shown in table 4-12 if a buffer with a different driving capability and output level is used. if the driving capability is the same when i ol is a value other than 12 ma, divide the values in table 4-11 by the coefficient in table 4-12. i ol = permissible number of simultaneous operation pins between 3gnd pins with 12 ma/coefficient criteria if drive capability or load capacitance is different in the case of buffers with different driving capabilities, the following expression must be used to calculate the permissible number of simultaneous operation pins (m i ) in table 4-11, taking the number of simultaneous operation pins (m i ) and coefficient ( i ) of each driving capability into consideration. (m i i /m i ) 1 calculation example i ol = 18 ma cmos level 30 pf 5 pcs. i ol = 24 ma cmos level 50 pf 2 pcs. 5 1.189 12.5 + 2 1.266 10 1 bga package determination determination is performed for the internal chip in the bga package. for internal chip pin layout, see the tables concerning assignment of v dd , gnd, nc, and scan test pins in cmos gate array, embedded array package design manual (a16400e) .
155 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta b le 4-11. permissible number of simultaneous operation pins between 3 gnd pins (i ol = 12 ma) (1) 5.0 v (a) when inputs are cmos-level inputs only v alid number of gnd output load capacitance (c l ) 15 pf 30 pf 50 pf 100 pf 150 pf 200 pf 1 note 13.0 8.5 6.3 4.8 4.3 3.8 3 19.5 12.5 10 7.5 6.5 6 (b) when inputs are ttl-level inputs only and when inputs are mixture of ttl-level inputs and cmos-level inputs v alid number of gnd output load capacitance (c l ) 15 pf 30 pf 50 pf 100 pf 150 pf 200 pf 1 note 5.2 4 2.8 1.9 1.6 1.5 385 4.5 3 2.5 2.5 (2) 3.0 v and 3.3 v v alid number of gnd output load capacitance (c l ) 15 pf 30 pf 50 pf 100 pf 150 pf 200 pf 1 note 4.3 4.0 3.8 3.5 3.3 3.0 3 6.5 6 6 5.5 5 5 note f or a small-pin-count package remarks 1. calculate the valid amount of capacitance not in the table by complementing linearly. 2. count adjacent gnd pins, including those sandwiching a corner on the layout, as one.
156 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm ta ble 4-12. coefficient of number of simultaneous operation pins (a) 5.0 v output level i ol (ma) coefficient example of corresponding block cmos normal type 3.0 0.467 fo09, b00t 6.0 0.746 fo04, b00e 9.0 0.757 fo01, b008 12.0 1.000 fo02, b007 18.0 1.189 fo03, b009 24.0 1.266 fo06, b00h cmos low-noise type 3.0 0.287 fe09, be0t 6.0 0.465 fe04, be0e 9.0 0.483 fe01, be08 12.0 0.500 fe02, be07 18.0 0.530 fe03, be09 24.0 0.625 fe06, be0h (b) 3.0 v and 3.3 v output level i ol (ma) coefficient example of corresponding block cmos normal type 3.0 0.395 fo09, b00t 6.0 0.575 fo04, b00e 9.0 0.618 fo01, b008 12.0 1.000 fo02, b007 18.0 1.076 fo03, b009 24.0 1.116 fo06, b00h cmos low-noise type 3.0 0.277 fe09, be0t 6.0 0.380 fe04, be0e 9.0 0.453 fe01, be08 12.0 0.500 fe02, be07 18.0 0.523 fe03, be09 24.0 0.533 fe06, be0h
157 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.6.7 assumptions for the determination method the determination of simultaneous operation is also affected significantly by the power supply and gnd pins of the circuit board. it is assumed by the determination method that simultaneous operation is considered for comparative circuit board and lsi pin placements. the reference values are determined based on this assumption. consequently, if the routing pattern of a circuit board is narrow (especially the power supply and gnd routing), or if the closed loop from the power supply wiring on the circuit board through the lsi and gnd wiring on the circuit board and back to the power supply wiring is long, and the impedance is large, then the noise generated by simultaneous operation will become greater than the noise level specified by the determination method. this must be kept in mind in order to avoid problems. in such a case, it is effective to shorten the above closed loop by means of a bypass capacitor.
158 chapter 4 estimating electrical characteristics design manual a13826ej7v0dm 4.6.8 other determination methods the methods explained below must be used if the determination reference cannot be satisfied by the standard power supply and number of gnd pins. (1) increasing v dd and gnd pins increase the v dd and gnd pins so that the condition of the number of simultaneous operation pins is satisfied. increase the number of pins at a ratio of one v dd pin to two gnd pins. (2) re-examine the applicable environment <1> reduction of output load capacitance the size of the noise generated by charge/discharge currents that flow when the output changes depends on the size of the output load capacitance. consequently, the size of the generated noise can be reduced by reducing the load capacitance, thereby increasing the allowable number of simultaneous operation pins. <2> modification of buffer type the peak values of the output charge/discharge currents depend on the buffer drive capability and the b uffer function. by changing to a buffer type with a lower drive capability or to a low-noise buffer, the generated noise can be controlled and the allowable number of simultaneous operation pins can be increased. <3> reduce simultaneous operation pins by adding delay time output simultaneous operation is the switching of multiple output buffers in the same direction (h l, hz l or l h, hz h) within a fixed time (see ta b les 4-8 and 4-9 ), as determined by conditions such as the buffer type and load capacitance. consequently, if delay time is added to the simultaneously operating output pins and the operating time does not fall within the time specified in ta b le 4-10 reference time ranges for simultaneous operation (typ.) , then it becomes unnecessary to consider these pins as operating simultaneously, and the number of simultaneous operation pins is thereby reduced. remark hz: high impedance
159 design manual a13826ej7v0dm chapter 5 circuit design guidelines this chapter explains the points to be noted and limits to be applied in designing a circuit. when designing an lsi using cmos gate arrays, once a circuit has been designed it cannot be easily modified, unlike when designing a circuit using standard ttl or cmos ics. it is therefore important to observe the limits and follow the design rules described in chapter 2 implementing the system using the gate array , chapter 4 estimating electrical characteristics , and this chapter to design an lsi without errors. if an lsi is designed without observing the design rules, not only is the development period after interfacing with nec electronics extended, but also the product may need to be re-developed. 5.1 basic circuit configuration 5.1.1 using i/o buffers when designing an lsi with gate arr a ys , place input/output b uff ers betw een the lsi and the input/output pins (see figure 5-1 ). reasons: <1> to protect the lsi from destruction due to static electricity <2> to obtain sufficient output drive capability figure 5-1. basic circuit configuration input pin input pin input buffer output buffer output pin output pin
160 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.1.2 unused pins with gate arrays, un used input pins cannot be left open (the state where the y are not connected to an ything) in any block. the pins must be input at either a high or low level by using f091 (h- and l-level generator). if a blocks input pins are left open, it cannot function correctly since the input level is undetermined. this condition also becomes a source of increased i l (leakage current). in addition, large fan-outs should be avoided when f091 is used. if several b locks are clamped to a single block, the routing becomes concentrated, making placement and routing difficult. in such a case, divide the circuit by a certain unit to avoid routing concentration. a warning error will be posted by the tester during a design rule check if the blocks output pins have been left open. discard unnecessary blocks. 5.1.3 fan-out limitations there are limitations on the number of charged gates that can be connected to a blocks output pins (the fan-out number). the recommended fan-outs for each block (including the fan-in number) are given in the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) . because signal rise and fall times increase when the number of charged gates increases, the estimation accuracy of the propagation delay time becomes lower. moreover, if rise and fall times become very long, data-through develops in the flip-flops causing abnormal logic operation. theref ore , do not e xceed the f an-out restr ictions when designing. be sure also to design with fan-out numbers that are 1/3 of the limit in circuits that have strict speed specifications. 5.1.4 wired logic circuit prohibitions other than f or the b us , do not configure wired logic as m utually connected b loc k outputs. the p-ch transistors and the n-ch transistors become conductive at the same time as a function of the logic state if the outputs of the block are connected to each other. pay attention to this since the steady low-power characteristics that are a feature of cmos circuits can be lost when the output is at an intermediate level because current can flow from v dd to gnd. figure 5-2. wired logic circuit prohibitions
161 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.1.5 notes on using bidirectional buffers if an output signal is input as is to an internal circuit with a bidirectional buffer, the internal circuit that receives this input signal may malfunction due to distortion of the output waveform and ringing as shown in figure 5-3. take special care to avoid inputting input signals to the clock of the flip-flop. in the output mode, make sure that the signal immediately before the output buffer is input to the internal circuit as shown in figure 5-4. figure 5-3. ringing figure 5-4. example of preventive circuit 0 1 sel selector
162 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.2 differential circuit prohibition as a rule, differential circuits should not be configured from gate arrays. since gate-array placement and routing design is done automatically, the range of waveforms that are internally generated cannot be guaranteed with gate arrays, and the desired functions will not materialize. therefore, avoid structuring the circuit shown in figure 5-5 (a); instead structure the circuit as shown in figure 5-5 (b). figure 5-5. differential circuit prohibition (a) example of incorrect circuit (b) example of correct circuit input output input output clock d c q d cqb
163 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.3 rs latch and loop circuits 5.3.1 rs latch gate-configured asynchronous rs latches should not be used with gate arr a ys. this is not only because initialization may not be able to take place via simulation or high variation in circuit path speed due to routing location effects. figure 5-6. asynchronous rs latches r s q qb r init s q qb
164 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.3.2 loop circuit the following points must be noted when loop circuits, such as feedback loops, are used. (1) as shown in figure 5-7, if gates lie between feedback loops, such as divider circuits, the frequency characteristics will drop due to the delay time caused by these gates. the delay times of these loops must be determined beforehand and the frequency characteristics must be verified. see 5.6 delay time margin f or the margin ve r ification method. figure 5-7. loop circuit (2) a loop circuit cannot be formed in a scan path configuration. in this case, employ a countermeasure such as isolating the loop circuit by using gates. dq c dq cqb t pd clk t pd of f/f clk t pd of gate setup time of f/f period t f max = 1/t point point a b a b
165 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.3.3 prohibited state of flip-flops the state in which both the set and reset inputs of an rs latch or flip-flop are enabled at the same time is prohibited. this is because the retained data becomes unstable if both the set and reset inputs are disabled simultaneously. what v alue the retained data will take is influenced by delicate timing such as the timing of the set and reset signal input and delay of the internal signal of the flip-flop and cannot be guaranteed. consequently, be aware of the following when using flip-flops with set/reset inputs. <1> do not enable set and reset inputs at the same time. <2> when it is necessary to enable set and reset inputs simultaneously, disable one side first and then disable the other side. by doing this, the state that the flip-flop was in when it was disabled will be maintained. ta ble 5-1. f617 (d-f/f with rb, sb) h04 h01 h02 h03 sb d c rb n01 n02 q qb d 0 1 x x x x c x x x rb 1 1 1 0 1 0 sb 1 1 1 1 0 0 q 0 1 hold 0 1 0 qb 1 0 hold 1 0 0 x: undefined use prohibited
166 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.4 clocked signal design g ate arrays should basically be designed as synchronous circuits. 5.4.1 synchronous circuit design there are two techniques used for designing synchronous circuits: the single-phase synchronous circuit design technique normally used for circuits designed using general-purpose lsis, and the multi-phase synchronous circuit design technique often used in cpu design. the features of single-phase and multi-phase synchronous circuit design are shown in table 5-2. ta bl e 5-2. features of single-phase and multi-phase synchronous circuit design advantages disadvantages single-phase synchronous circuit is simple. signal skew on the clock line must be circuit design generally suited to high-speed circuits. considered in configuring shift registers multi-phase synchronous timing tests for shift registers are a m ulti-phase clock signal must be generated. circuit design unnecessary. number of gates increases. generally unsuited to high-speed operation.
167 chapter 5 circuit design guidelines design manual a13826ej7v0dm (1) single-phase synchronous circuit design single-phase synchronous circuits should be designed when sequential circuits will operate with a single clock signal. this relatively simple design method is necessary to adjust timing such as clock skew between sequential circuits. figure 5-8. clock skew f/f - a f/f - b f/f - c buffer - 1 d d d the s to a delay time differs from the s to b and s to c delay times due to wiring resistance. buffer - 1 s c f/f - a f/f - b f/f - c b a
168 chapter 5 circuit design guidelines design manual a13826ej7v0dm clock skew is a shift of the clock signal between sequential circuits. this shift becomes greater if the wiring resistance becomes large and is also dependent on the wiring length from the point of divergence of the circuits. follow the measures below to allow for clock skew when performing single-phase circuit design. (a) try to allocate similar clock lines in the same macro. (see figure 5-9 (a) ). (b) when allocating a large number of clock lines, allocate the lines as shown in figure 5-9 (b) so that errors due to clock skew do not occur. (c) accelerate the operation of the final-stage register by structuring the synchronous counters and shift registers (see figure 5-10 ). (d) use clock tree synthesis (see 5.4.3 clock tree synthesis ). figure 5-9. clock skew countermeasure 1 (a) (b) clk macro clk macro
169 chapter 5 circuit design guidelines design manual a13826ej7v0dm figure 5-10. clock skew countermeasure 2 (a) circuits with potential for malfunction (b) circuit with a clock skew countermeasure if buffers are inserted in the clock line due to the fan-out limitation, the countermeasures illustrated in figure 5-10 (b) must be taken. data clk out dq dq dq dq data clk out dq dq dq dq
170 chapter 5 circuit design guidelines design manual a13826ej7v0dm (2) multi-phase synchronous circuit design operation of sequential circuits in multi-phase synchronous circuit design normally involves two or more clock signals with a constant relationship. this method avoids contention of clock operation between sequential circuits. figure 5-11. double-phase synchronous circuit figure 5-11 is an example of a double-phase clock circuit. the two clock signals ( 1 and 2) vary in timing to avoid hold time errors between two sequential circuits. even if there is interaction between complex sequential circuits, testing for timing contention can be curtailed by alternately operating sequential circuits. in addition, since the number of gates is reduced in this circuit example, a latch can be used instead of a flip-flop. in multi-phase synchronous circuit design, configure the several clock signals from the basic clock signal. this will result in a lower clock frequency than that needed for a normal single-phase circuit (high speed is possible with a pipeline structure). data 1 2 data 1 2 out out d d d d undefined
171 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.4.2 clock skew clock skew is generated by wiring length variations in actual placement and routing. hold time errors in sequential circuits can result from this clock skew. normally, discrepancies due to these kinds of variations cannot be detected in the simulation that is performed before the placement and routing. the following guidelines are provided to minimize this problem. (a) clock line design in a macro one clock line should be supplied in single-phase synchronous circuit design. it is basically not necessary to test for clock skew in multi-phase synchronous circuit design. however, it is necessary to check the operating frequency. (b) clock line design between macros there are clock skew problems especially between macros in single-phase synchronous design. some e xamples of countermeasures are shown in figure 5-12.
172 chapter 5 circuit design guidelines design manual a13826ej7v0dm figure 5-12. countermeasures for clock lines between macros (a) insert delay gates (b) receive signal by inverse-phase clock (c) make circuit multi-phase figure 5-12 (b) uses the inverse phase of the clock to create a hold time margin. with this method, it is necessary to keep the clock frequency and duty cycle in mind. figure 5-12 (c) is a measure using a multi-phase clock. in this case, it is necessary to keep the clock frequency in mind. macro a macro b delay gate q dq d a delay gate must be inserted within macro b in order to gain flip- flop hold time. macro a macro b q dq dq d macro a macro b q dq dq dq d 1 2
173 chapter 5 circuit design guidelines design manual a13826ej7v0dm first-level driver second-level driver third-level driver flip-flop (1) benefits of cts in cts, a cts block is substituted for the clock drivers (fcka to e) that are usually used. figure 5-14 shows how the clock line buffer is inserted. an inverter is used since the path delay time is shortened with actual cts. this is how clock distribution is performed. as a result, the number of blocks that are inserted includes the number of cts drivers. the block names and the number of stages of inserted block are shown in table 5-3. the selection of the blocks to be used is based on the number of clock line branches. cautions 1. nec electronics recommends the use of only one cts per chip. using more than one cts is possible, however, it may cause the cell usage rate to decrease and the clock skew to increase as the number of times cts is used increases. in addition, because more time is needed for clock tree synthesis and placement and routing as the number of cts operations is increased, users are advised to check their design schedule. 2. when an oscillator (resonator) is also being used, see 7.3.3 using oscillator (resonator) and cts together. 5.4.3 clock tree synthesis clock tree synthesis (cts) is a technique that minimizes clock skew between flip-flops that are connected to the clock line. as shown in figure 5-13 (a), the distance between the clock driver and each flip-flop is not constant. in addition, wiring resistance increases due to shrink processing. because of this, the variations in wiring length are linked to clock skew. with cts, a buffer is inserted in the clock line. this uniformly distributes the clock line, as shown in figure 5-13 (b). therefore, nec electronics recommends the use of the cts instead of clock drivers. figure 5-13. concept of cts (a) conventional (b) cts
174 chapter 5 circuit design guidelines design manual a13826ej7v0dm ta b le 5-3. cts blocks (reference) block name number of block inserted number of branches on clock line stages 32 to 128 128 to 1280 1280 to 2560 2560 to 5120 5120 or more fc42 2 f144 (33:1.70) (33:2.40) 0.20 ns 0.30 ns fc82 2 f148 (33:1.70) (33:2.10) 0.20 ns 0.35 ns fc44 4 f144 (85:1.40) (85:1.80) 0.15 ns 0.35 ns fc84 4 f148 (85:2.60) (85:3.00) (165:3.40) 0.15 ns 0.25 ns 0.40 ns remark each symbol has the following meaning. : cannot be used : can be used : preferred (recommended) the values in parentheses represent the estimated number of inserted blocks (the first value), followed by the estimated delay time. the value on the next line is the estimated clock skew value, which varies slightly according to the conditions. cautions 1. fc42 or fc44 is recommended when using cts in a chipset that is susceptible to emi or noise. 2. if an oson oscillator block is directly connected to fc82, noise may occur, making stable oscillation impossible. therefore, be sure to insert a gate between these blocks as shown in 7.3.3 using oscillator (resonator) and cts together.
175 chapter 5 circuit design guidelines design manual a13826ej7v0dm d d d d d d d d d d d d fc44 d d d d f144 f144 f144 f144 f144 f144 f144 f144 f144 d d d d f144 f144 f144 f144 f144 f144 f144 f144 f144 f144 f144 f144 total: 16 blocks ? ? ? total: 64 blocks ? ? ? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . figure 5-14. image of cts use (example of fc44)
176 chapter 5 circuit design guidelines design manual a13826ej7v0dm d d d d fcts in clock tree synthesis, the clock skew is optimized as far as the thick wires. (2) clock tree synthesis guidelines the following precautions apply when using cts. (a) the section from the output of the clock tree synthesis block (fcts) to the block that requires optimized clock skew must be described by one net. if a function block is inserted in the path, the clock skew up to the function block is optimized. figure 5-15. clock skew optimization
177 chapter 5 circuit design guidelines design manual a13826ej7v0dm macro a fcts macro b fcts (b) the fcts is described in the top level hierarchy and is not entered in the macro. this makes skew optimization difficult. figure 5-16. example of cts block description (c) routing detours increase with large macros and high use rates, and there are cases where clock skew cannot be sufficiently optimized.
178 chapter 5 circuit design guidelines design manual a13826ej7v0dm dq c dq c d cqb d cqb circuits not suitable for high-speed (stable) operation (a) (b) circuits suitable for high-speed (stable) operation 5.5 notes on configuring high-speed circuits generally, when comparing the characteristics of p-ch and n-ch transistors, an n-ch transistor can pass a higher current than a p-ch transistor. therefore, a nor gate consisting of p-ch transistors connected in series has a reduced load drive capability at the rising of the output. for example, a nor block is slower than a nand block, and has poor f an-out characteristics. guidelines to be followed when structuring a circuit that will run at high speed are shown below. (1) structure the circuit by using logic conversion techniques and standard nand blocks. ? the circuits speed will improve, as will the circuits stability (see figure 5-17 (a) ). (2) structure the circuit so that the fan-out is as small as possible to lighten the load. ? in general, observe the 1/3 to 1/2 fan-out limit (see figure 5-17 (b) ). (3) convert from low-power blocks to standard blocks. figure 5-17. configuring high-speed operational (stable) circuits
179 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.6 delay time margin logic circuits consist of combination circuits whose output is determined simply by the state at their inputs and sequential circuits whose output is determined by the state at their inputs and their previous state. specifically, sequential circuits consist of gate circuits with feedback, flip-flops, and latches. bearing in mind testability considerations and ease of design estimation for delay time, it is clear that individual combination and sequential circuits cannot be too large. also, a majority of the sequential circuits are operated in synchronization with the system clock, which has an adequate margin with respect to the delay times of the combination circuits. in the portion where adequate margin cannot be secured by the clock, timing of the entry of the sequential circuit, i.e., each input of flip-flops and latches, must be secured.
180 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.6.1 timing definitions t su d c r c t rem c t w t w t h d c r c t rel (1) setup time (t su ) in latches or flip-flops, the data setup time needed to read data at the active edge of the clock. (2) hold time (t h ) in latches or flip-flops, the data hold time needed to read data at the active edge of the clock. (3) release time (t rel ) in latches and flip-flops, the time needed from release of the reset or set until the active edge of the next clock becomes valid. (4) removal time (t rem ) in latches and flip-flops, the time needed to make the active edge of the clock invalid when the reset or set is cancelled. (5) minimum pulse width (t w ) in latches or flip-flops, the minimum time of the clock, reset, or set pulse width needed in order to read data correctly. figure 5-18. setup time figure 5-19. hold time figure 5-20. release time figure 5-21. removal time figure 5-22. minimum pulse width
181 chapter 5 circuit design guidelines design manual a13826ej7v0dm t pda t pdb s b a d c q f/f t pda ' t pdb ' s a b t pda " t pdb " s a b calculation equations: t su < t pdb ?t pda = t pdb(min.) ?t pda [ min.(max.) ] = t pdb(min.) ?t pda(min.) 1 + 1 ? t h < t pda ?t pdb = t pda(min.) ?t pdb [ min.(max.) ] = t pda(min.) ?t pdb(min.) 1 + 1 ? : distribution coefficient (0.1) 5.6.2 delay time margin calculation (asynchronous circuits) the setup time and the hold time for the circuit in figure 5-23 are described as an example of calculating the delay time margin. here, the variation and wiring length are set conditionally as to decrease the margin. if the specifications that are determined by each block (t su and t h ) are satisfied, then decisions about normal operation can be ascertained. figure 5-23. example of delay time margin figure 5-24. timing estimate calculation circuit (a) setup time (t su ) (b) hold time (t h )
182 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk d c q f1 d c q f2 point a delay a clk q in f1 point a sampling < 1 > t t pd of f1 t pd up to point a (typ.) distribution from typ. to max. side sampling < 2 > note figure 5-26. in-phase clock timing note do not cross into the next sampling timing with respect to the f2 setup time. 5.6.3 delay time margin calculation (high-speed circuits) in circuits operating at high frequencies, the operating margin for an internal functional blocks delay time is small since the single-cycle time is short. here, the delay time margin calculation for both in-phase and inverse-phase circuits is described. (1) in-phase clock consider the shift register operation containing delay between flip-flops f1 and f2 in figure 5-25. as shown in figure 5-26, the points at which this circuit is inspected are where the output data (q in f1) passes through delay a and is input to f2 (sampling timing <1>) and at sampling timing <2>, where a check is made to see if the data is read normally. therefore, the value resulting from adding the maximum delay at point a to the setup time of f2 must be obtained within one time period (t). figure 5-25. example of in-phase clock circuit
183 chapter 5 circuit design guidelines design manual a13826ej7v0dm calculation equation: t ?(t pd(f1)(max.) + t pda(max.) ) > t su(f2) the following countermeasures are necessary if this relationship is not satisfied: reduce the amount of delay of delay a ? ow er the operating frequency (lengthen period t)
184 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk d c q f1 d cb q f2 point a delay a clk q in f1 point a t t pos sampling < 1 > sampling < 2 > t pd of f1 t pd up to point a (typ.) distribution from typ. to max. side note note do not cross into the next sampling timing with respect to the f2 setup time. calculation equation: t pos ?(t pd(f1)(max) + t pda(max) ) > t su(f2) the following countermeasures are necessary if this relationship is not satisfied: reduce the amount of delay of delay a ? ow er the operating frequency (lengthen period t) increase the clk duty (2) inverse-phase clock figure 5-27 is an inverse modification of the f2 clocks active edge shown in figure 5-25. since both the rise and fall edges are used, the operating margin varies with the clk duty. the circuit normally operates under the following conditions. figure 5-27. example of inverse-phase clock circuit figure 5-28. inverse-phase clock timing
185 chapter 5 circuit design guidelines design manual a13826ej7v0dm clk delay b point a t pdb(ll) t pdb(hh) tb r q clk point a t neg t pdb(ll) t neg(min.) t pdb(hh) calculation equations: t neg(min.) = t neg + (t pdb(hh)(max.) ?t pdb(ll)[max.(min.) ]) > t w t neg(min.) = t neg + (t pdb(hh)(max.) ?t pdb(ll)(max.) 1 ? ) > t w 1 + : distribution coefficient (0.1) the ratio t pdb(hh) /t pdb(ll) is controlled in order to regulate the minimum pulse width of the signal that is input to the flip-flop clock. this increases the duty cycle. in the example above, if the functional block in delay b is changed to a type in which the fall delay (t pdb(ll) ) is fast and the rise delay (t pdb(hh) ) is slow, t neg(min.) increases. in addition, it is necessary to be aware that the high-level pulse width must satisfy the minimum pulse width standard. 5.6.4 minimum pulse width with circuits operating at high speed, there are cases when the minimum pulse width for a flip-flop input clock is not satisfied due to the delay difference between the rise and fall of the signal and the relative variation of an identical path. f or example, in figure 5-29, the signal input by clk passes through delay b and is input to the clock of the flip- flop. the timing is shown in figure 5-30. in regard to delay b, when the fall time delay (t pdb(ll) ) is greater than the r ise time delay (t pdb(hh) ), t neg becomes greater than t neg(min.) , and the pulse becomes narrow. t neg(min.) is estimated by the conditional setting of t pdb(ll) to the maximum and t pdb(hh) to the minimum relative variation direction. figure 5-29. minimum pulse width estimate figure 5-30. pulse narrowing
186 chapter 5 circuit design guidelines design manual a13826ej7v0dm clk data t su t h clk set/reset t rem t rel caution the set or reset signals must not be cancelled in the vicinity of the active edge of the clock. caution the specified t su and t h m ust be satisfied (see the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e)). release time (t rel ) ....... time after the set/reset signal changes until the clock becomes valid removal time (t rem ) ..... time needed in order to make the clock invalid 5.6.5 metastable state (preliminary) if the setup and hold time standards are not satisfied and the clock and data or clock and set/reset are changed simultaneously, the output may be oscillated at the flip-flop and latch and become an intermediate level that is neither high nor low. this unstable state is called a metastable state. the metastable state ends after a certain time, and the output settles into a high or low level. however, an unstable state results since the level that is defined has no relationship to the data input level. in the cases where the setup, hold, release, and removal times cannot be satisfied, take the countermeasures shown below to prevent this unstable state from spreading over the entire circuit. setup time (t su ) ............ time that the data signal must secure before the clock changes hold time (t h ) ............... time that the data signal must hold after the clock changes
187 chapter 5 circuit design guidelines design manual a13826ej7v0dm set data clk d c q clk data set expected value example of abnormality setup/hold time not satisfied release/removal time not satisfied unstable state (metastable) undefined state (not known whether h or l) recovery unstable state (metastable) undefined state (not known whether h or l) recovery in the cmos-n5 series, the time of the metastable state is specified as shown below. after this time, the state is either h or l, but it is not clear which (shown as ?ndefined in the above figure). metastable time = t pd (max.) 6 t pd (max.) ...... maximum value of the delay time from the active edge of the clock until the output changes (when the ratings of the setup/hold time could not be satisfied); or, release/removal time (when the ratings of the release/removal time could not be satisfied). there is no problem even if t pd0(max.) is used in f -type sequential circuits. for the respective v alues, see the cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) . (1) metastable state generation and recovery time
188 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk reset d c q r a d c q r b counter qb clk data expected value a abnormality a expected value b abnormality b unstable undefined unstable undefined setup/hold time not satisfied (2) avoiding a metastable state when the stipulated times cannot be satisfied (asynchronous input signals), configure the circuit so that the occurrence of metastability will not affect the later stage. examples of the abnormality and how to avoid it are shown below. example of abnormality when the output from b in the figure below is input to the counter, an excess number of counts may occur.
189 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk reset d c q r a d cb q r b d c q r c qb setup/hold time not satisfied clk data expected value a abnormality a clkb expected value b abnormality b output c output c (when the unstable state of b is h) (when the unstable state of b is l) undefined undefined unstable counter remark clock width > t pd(max.) 6 + (t su or t h ) example of avoiding abnormality output c is stable due to the insertion of the flip-flop. however, although the initial clock at c can have two v alues as a function of the instability of b, there is no effect on the counter in the following example.
190 chapter 5 circuit design guidelines design manual a13826ej7v0dm control device path c path b gate array f/f clk path a next stage device the following three types of critical paths are available: <1> input to output <2> input to input <3> output to output the inspection and specification methods for these critical paths are explained in the following sections. 5.6.6 critical paths a critical path relates to the system timing contained in the gate array. it is the path that establishes the delay time f or the gate array (see figure 5-31 ). in this example, a detailed investigation of the paths a, b, and c (the critical paths) is necessary. ? ath a: is the input timing of the device in the next stage satisfied for gate array output sampling by clk? ? ath b, c: is the sampling timing satisfied in the gate array by the controlling devices output timing? figure 5-31. system with critical paths
191 chapter 5 circuit design guidelines design manual a13826ej7v0dm (1) calculating and designing a critical path as described in 4.4.3 estimating wiring capacitance , placement and routing are executed by determining the placement range for each macro hierarchy (first hierarchy only). consequently, the intramacro and intermacro wiring lengths differ significantly. the following points must be noted when the propagation delay time of the critical path is estimated using the virtual wiring capacitance listed in table 4-5. <1> the critical path can be terminated in one macro hierarchy (first hierarchy) (excluding the i/o buffer). <2> the load connected to the path can be reduced by making the critical path as simple as possible (limiting the fan-out value to 1/3). <3> except as given above, the input and output pins should be placed as close together as possible in regard to critical paths from the input to output pins. <4> circuits other than critical paths should not be included in macro hierarchy. (2) critical path between input and output pa th a in the circuit example of figure 5-31 is not influenced by other inputs. the maximum t pd val ue must be designed to be smaller than the value required by the system. in addition, keep in mind the large dependency of the output buffers delay time on the external load capacitance c l . calculation equation: t pd(max.) < system specification value
192 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk t pdd t pdc d c q (f611) data clk 4.7 ns (min.) the following points must be taken into consideration with respect to the conditions used: absolute variation is in the direction of the smallest margin relative variation is in the direction of the largest t pdd and the smallest t pdc the method for making these decisions is shown below. calculation equations: da ta is assumed to be a time differential of 4.7 ns (min) from clk, as shown in figure 5-33. t pdc(min.) ?t pdd [ min.(max.) ] + 4.7 > t su t pdc(min.) ?t pdd(min.) 1 + + 4.7 > t su 1 ? : distribution coefficient (0.1) (3) critical path between two inputs the circuit configuration in figure 5-32 will be calculated as an example to study the input sampling timing. calculation will be made assuming that the timing of a signal input from outside is as shown in figure 5-33, since the mutual timing specification between the input pins must be well defined in this timing verification. figure 5-32. example of input-input critical path figure 5-33. verification of setup time
193 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.6.7 ensuring operating margin when a circuit lacks an operating margin as the result of a delay margin check and a critical path check, there are several things that can be done, depending on the circuits configuration. generally, the following methods are used. <1> reassess input and output specifications decrease the input f max. and lower the input f max. duty variation ? ase the input and output timing and decrease the outputs load capacitance <2> reassess pin placement shorten the wiring length to decrease the delay between input and output (adjacent placement of pins) <3> modify the circuit decrease the delay time by simplifying the circuit decrease the delay time by decreasing the load on the circuit obtain a margin by inserting a delay gate delay calculations (or recalculations) are necessary when modifying a circuit, so it is particularly important to estimate the inserted gate output wiring length as 0 mm in regard to delay gate insertion.
194 chapter 5 circuit design guidelines design manual a13826ej7v0dm f091 l h 5.7.2 preventing internal bus floating as a basic rule when using an internal bus, only one b lock configured on the same bus line should be in the output enabled state. this is necessary to avoid the input of the next stage block being in a floating state. examples of a good internal bus circuit structure are shown in figure 5-35. figure 5-35. examples of internal bus floating prevention circuit (a) example 1 (b) example 2 5.7 internal bus configuration 5.7.1 configuring internal bus t ypical data selection techniques include the data selector format and the bus format. the circuit configuration of a data selector (multiplexer) can become very complex. on the other hand, the bus format enables a comparatively simple circuit configuration that it is easier to understand and the number of cells used does not increase. however, the propagation delay time may increase. therefore it is important to select the optimum format according to the circuit structure. figure 5-34. bus configuration
195 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.7.3 precautions when using internal bus although the internal bus can operate with multiple blocks connected on the same bus line, the signal rise and fall times may increase due to an increase in wiring length and an increase in the fan-in loading of the previous block. since problems in operating stability and reliability may result, the following constraints must be observed. for further information, see 5.8 preventing contention with external bus . (1) observe the bus constraints indicated by the following formula: f/o + n 50 (1.4 f/o +1.1 n + 1.9) f < 410 f/o ... sum of the fan-in loading (f/i) of the gates connected to the bus n ....... sum of the 3-state output buffers (f531, f532) connected to the bus f ......... operating frequency (mhz) of the bus contact nec electronics if it is required that the design exceeds the above conditions. (2) basically, the following states are prohibited on the bus line. (a) more than two outputs are enabled on the same bus line. (b) all outputs are disabled on the same bus line. consider enable-signal skew in order to converge the above states within no more than 20 ns.
196 chapter 5 circuit design guidelines design manual a13826ej7v0dm v dd gate array system bus other lsi devices 5.8 preventing contention with external bus in addition to the explanation in 5.7.3 precautions when using internal bus , the two items below should be noted when connecting gate array and other lsis in a system using a bus configuration. (1) bus contention (2) bus floating ta ke measures via timing design and pull-up/pull-down resistors in order to avoid these problems. in addition, in order to avoid external bus floating, i/o blocks with pull-up and pull-down resistors can also be used. f or further information, see chapter 7 multifunction blocks . figure 5-36. external bus floating prevention countermeasure
197 chapter 5 circuit design guidelines design manual a13826ej7v0dm d cqb d cqb s t r qb s t r qb 16-bit counter 8-bit counter 8-bit counter 5.9.2 counter division with multi-bit counters, the effective test method is to divide the counters to reduce the number of test patterns. f or example, the number of pulses necessary until the final stage of a 16-bit counter operates is 2 to the 16th pulses. by dividing the 16-bit counter into two 8-bit counters as shown in figure 5-38, however, the number of pulses can be cut by 1/100 to 1/200. figure 5-38. counter division 5.9 testability there is more than just logic design when designing a gate array. testing and test circuits are also necessary. consider the points shown below when designing the circuit and generating a test pattern. for more information, see chapter 6 test pattern generation . flip-flop (f/f) initial setting division of counters addition of test pins division (modularization) of internal circuits by test pins 5.9.1 flip-flop initial setting when the device is powered up, it is not known whether the output state of a block, such as a flip-flop or counter, is high level or low level. consequently, the initial state must be set using the first few patterns during simulation. in the design stage, the circuit should be configured so that an initial setting pattern is not too long, and blocks with reset inputs should be used as much as possible so that the initial state of the internal circuit can be reset. figure 5-37. flip-flop initial setting
198 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.9.3 adding test pins and dividing circuits similar to the case in 5.9.2 counter division , when testing multibit counters and large-scale macros, the lsi test can often be simplified and the number of test patterns reduced by setting up ?est pins? which enable the operation mode to be set externally. (1) an effective method to test lsis when the circuit is internally divided into several operation modes is to set up pins (test pins) to enable the setting of a specific test mode. (2) large-scale circuits are often configured by several partitioned macros (modules), when testing such a circuit, an effective method is to set up specific test pins per partitioned module to enable testing of the circuit in a divided state.
199 chapter 5 circuit design guidelines design manual a13826ej7v0dm 5.10 racing and spike noise 5.10.1 racing (contention) the state where the timing changes when there are more than two input signals in a logic block is called racing (contention). if the test pattern shown in figure 5-39 (b) is added to a circuit such as that in figure 5-39 (a), a shift in flip-flop data and clock timing occurs due to the difference between the two delays in buffer 1 and 2 and the routing delay difference. the result of this is that the expected operation does not occur. in the case of figure 5-39 (a), data is first set in the flip-flop, making it necessary to consider a change in the clock. the test pattern for this is shown in figure 5-39 (c).
200 chapter 5 circuit design guidelines design manual a13826ej7v0dm data clk 1 2 d c q out data clk out (expected output) out (when the clk changes faster than the data) 1234567 data clk out 1234567 (c) test patterns that do not cause racing (b) test patterns with potential for racing figure 5-39. racing (a) circuit with potential for racing
201 chapter 5 circuit design guidelines design manual a13826ej7v0dm da db sel a a b c out the and-nor data selector circuit shown in figure 5-40 will generate the test pattern shown in figure 5-41. 5.10.2 spike noise spike noise is noise in a circuit that employs two or more gate inputs and is caused by a small input timing shift when the input signal timing changes. the time interval of this spike noise changes as a function of the size of the shift in timing. if the spike noise is input to the next-stage flip-flop clock or the set/reset, the affected signal path rela ted to the flip-flops output signal can generate errors in operation. consequently, when gates with two or more inputs are used, it must be checked whether an influence is exerted on the next-stage gates and the external output signals by spikes generated by changes occurring when the multiple inputs operate simultaneously. it must also be confirmed whether or not operating errors are occurring. if the spike noise cannot be ignored in the following stage, the test pattern and circuit need to be modified so as to not influence the following stage. f ollowing is an example of the generation of spike noise and measures that can be taken against it. figure 5-40. example of data selector circuit
202 chapter 5 circuit design guidelines design manual a13826ej7v0dm 1234567891011121314151617 da db sel a b c out in this case, when both the da and db input data signals are in a high-level state, spike noise is generated at the output signal out since the sel (select signal) changes from h to l. the pattern in figure 5-41 generates spikes at pattern locations 2, 8, 11, and 15. as is clear from the circuit diagram, when da and db are in the high-level state, the state of b and c are determined by the state of sel. in addition, when sel changes from h to l, b changes from l to h and c changes from h to l in the same pattern. moreover, a changes when it goes through inverter a and the delay through a is greater than that of sel. because of this, b is delayed more than c for inverter a. consequently, the state of b and c are simultaneously l and l at 2, 8, 11, and 15 of the test pattern, and l-to-h-to-l spike noise is generated for out. implement the following two measures if this spike noise is input to the flip-flop clock or the set/reset. <1> stop the flip-flop output changing due to spike noise by ensuring that the data is not changed, or by some other method at the spike noise generation timing. <2> modify the test pattern. figure 5-41. example of test patterns (before improvement)
203 chapter 5 circuit design guidelines design manual a13826ej7v0dm 1234567891011121314151617 da db sel a b c out in the case shown in figure 5-41, when sel changes from h to l, make at least one of da or db change to l. there is no spike noise at the output out if the timing is designed as shown in figure 5-42. figure 5-42. example of test patterns (after improvement)
204 design manual a13826ej7v0dm chapter 6 test pattern generation when designing with gate arrays, the circuits expected function and performance are verified through simulation on a computer. to execute the simulation, the user is requested to prepare a circuit diagram and test patterns. these test patterns are also used for product inspection before shipment. during shipment inspection, the functions of the lsi are verified (test function) and the dc characteristics (such as power supply leakage current, input leakage current, and output current) are tested. unless adequate consideration is given to the shipment inspection, therefore, the product is not thoroughly tested when shipped. users are therefore requested to generate test patterns with which f ault detection and dc testing can be performed. during simulation, the conditions under which the lsi is actually used by the user can be realized relatively easily. the lsi tester, which tests the actual lsi, however, cannot completely reproduce the conditions under which the user actually uses the lsi, in many cases. the test patterns should therefore be generated in accordance with the capability of the lsi tester and by observing specified limits. this chapter describes the points to be noted when generating test patterns. 6.1 test pattern types the types of test patterns available are shown in table 6-1. one dc test pattern is essential, but other test patterns may also be necessary depending on circuit or user requirements. when the lsi tester is used to perform dc measurement, the measurement is carried out using up to the first 32,000 patterns of the dc test pattern. ta b le 6-1. test pattern types p attern name purpose pattern generator dc test pattern dc measurement, logic verification user function test pattern logic verification user high-speed function test pattern logic verification (real time) user megamacro initialization pattern initialization nec electronics (inserted by user) megamacro single-unit test setting pattern setting megamacro peripheral values user megamacro test pattern logic verification (megamacro single unit) nec electronics scan test pattern fault detection user or nec electronics ram test pattern logic verification (ram single unit) nec electronics digital pll initialization pattern initialization user boundary scan test pattern logic verification (boundary scan circuit) user or nec electronics although the pattern length per pattern is not restricted (except for the high-speed function test), the total pattern length is. for details, refer to 6.2.2 limitations on test pattern length .
205 chapter 6 test pattern generation design manual a13826ej7v0dm 6.2 notes from viewpoint of product test (lsi tester) 6.2.1 i/o pin naming conventions (1) maximum number of characters for i/o pins 64 characters max. (2) characters allowed some characters must not be used when specifying a pin name. the characters that can be used are listed in the table below. ta b le 6-2. restrictions on pin names usable characters alphabetic uppercase letters numeric characters ??(underscore) unusable characters ?/ (slash) and all other special characters other than the underscore alphabetic lowercase letters 6.2.2 limitations on test pattern length the length of a test pattern is limited by the size of the lsi testers memory. the minimum and maximum lengths of test patterns (for dc test and for the function test) are listed in table 6-3. ta ble 6-3. limitations on number of test patterns number of patterns minimum number of test patterns maximum number of test pa c kage note 1 (applicable to dc test patterns) patterns note 2 144 pins or less: with scan 150 patterns 128 k patterns 144 pins or less: without scan 256 k patterns 145 pins or more 512 k patterns notes 1. the number of package pins includes the number of power supply pins (gnd, v dd , etc.). 2. the maximum length of test pattern does not need to be considered for the ram test pattern, scan test pattern created by nec electronics, and high-speed function test pattern. examine each length of test pattern for the user-created test pattern and megamacro boundary scan, taking the limited pattern length above into consideration.
206 chapter 6 test pattern generation design manual a13826ej7v0dm 6.2.3 number of test patterns there can be more than one test pattern. the maximum number of patterns is 20, including all interface test patterns such as those for dc test and function test. in this case, the ram test pattern, scan test pattern created by nec electronics, and high-speed function test pattern do not need to be considered. however, the number of test patterns should be minimized as far as possible in order to increase efficiency. even if the test pattern is divided for the sake of convenience of design, in principle, submit one test pattern to nec electronics (the test patterns can be easily combined by using the wave editor of the pattern utility or opencad). to divide the test pattern, initialize each pattern (see 6.3.1 initializing circuit ). if this is not possible, be sure to inform nec electronics of the sequence of the test patterns (in writing). the test pattern must be divided in the following cases. if the time conditions (input delay and pulse width) and output judge time (strobe time) of the input signals differ f or details, see 6.3 notes on creating test pattern for function test . 6.3 notes on creating test pattern for function test 6.3.1 initializing circuit whether the output state of blocks, such as flip-flops and counters, is at the high level or low level immediately after power application is unknown (see 5.9.1 flip-flop initial setting ). consequently, the initial status of sequential circuits such as flip-flops and counters is ? (undefined) during simulation. to verify operation of the circuit, it is necessary to change the internal function block state from an indeterminate state to a determinate state (circuit initialization). when designing a circuit, prepare a pattern that can initialize the circuit at the beginning of the test pattern, and at the same time, consider use of a reset pin, so that the circuit can be easily initialized. when preparing divided test patterns, in principle, initialization is necessary for each pattern (see figure 6-4 test p attern example ). 6.3.2 test cycle (test rate) the test rate is referred to as the cycle of one test pattern. currently, the test cycle limitation at nec electronics for a general function test is as follows: t est cycle: 200 ns if a higher-speed test cycle than above is desired, perform the high-speed function test. for the high-speed function test pattern, refer to 6.6 high-speed function test (real-time test) . 6.3.3 output determination time (strobe time) the output determination time (strobe time) refers to the time during which the output value of the product is referenced with the expected value on the test pattern. in the current normal function test pattern, this time is always the final time (199.99 ns) of the period, and anything outside of this becomes a high-speed function. f or details of the high-speed function test pattern, see 6.6 high-speed function test (real-time test) .
207 chapter 6 test pattern generation design manual a13826ej7v0dm 6.3.4 specification of timing phase f or the specification of timing phases currently supported, refer to tab le 6-4 (including the basic timing). the skew among the pins of the lsi tester (specified as 5 ns) must be considered, and the time diff erential of each phase m ust be set to 10 ns or g reater. the basic timing phase indicates the nrz signal when ( ? t d = 0 ns. nrz signals with an equal delay time ( ? t d ) are considered as in-phase and counted as one phase no matter how many input pins there are with the same timing. likewise, rz signals with an equal delay time ( ? t d ) and pulse width ( ? t w ) are also considered as in phase. p ositive clocks and negative clocks with an equal ? t d and ? t w are also counted as one phase. however, nrz signals and rz signals with an equal ? t d are in-phase. ta b le 6-4. timing phase number pkg timing phase number note all packages 6 note including basic timing phases. ta b le 6-5. timing constraints timing limit input delay ( ? t d )i nput pulse width ( ? t w ) signal type min. max. min. max. basic timing 0 ns nrz signal 10 ns t ?10 ns rz signal (clock mode) 10 ns t ? ? t w ?10 ns 145 pins or more: t ? ? t d ?15 ns 10 ns 144 pins or less: 15 ns remarks 1. nrz (no return to zero) signal: indicates there is only one change within one test pattern (1 test rate). 2. rz (return to zero) signal: a signal with a change of 0 1 0 or 1 0 1 within one test pattern.
208 chapter 6 test pattern generation design manual a13826ej7v0dm basic timing nrz signal with input delay rz signal (p) (clock mode) rz signal (n) (clock mode) tt ? t d ? t d ? t d ? t w ? t d ? t w ? t d ? t w ? t d ? t w figure 6-1. timing phase cautions 1. at least 10 ns must remain between changes of each signal. 2. rz signal input to bidirectional pins is prohibited. remark t: t est cycle (test rate) the clock mode (rz) signal of the input has two polarities, which determine how it is used. ta b le 6-6. clock mode input pattern definition operation p ositive clock (p) negative clock (n) 1 (h) clock generation 0 1 01 0 1 (positive clock generation) (negative clock generation) 0 (l) clock stop 0 hold 1 hold
209 chapter 6 test pattern generation design manual a13826ej7v0dm in bidirectional pin control pin in out 6.3.5 skew when two or more input signals are changed at the same time during simulation, no skew occurs between input signals. with an lsi tester that is used to check the quality of products, however, the input signals do not change at e xactly the same time because of a skew of several ns that exists between input pins, even if it is specified that the signals change at the same time. consequently, even if no problem is found during simulation, the product may not pass a quality test because of the skew between pins. therefore, take the following measures so that the product will operate normally even if there is an input skew when creating a test pattern. (1) do not change a flip-flops data input and clock at the same time instead, alternate by one pattern. (2) use a clock signal (rz signal) and an input delay signal (nrz signal). stagger the input. if it is assumed that the input skew is 10 ns and the setup time between data and clocks is 5 ns, then a 15 ns delay time is needed, as shown below. lsi tester input skew + setup time = input delay time specified to clock signal 10 ns + 5 ns = 15 ns 6.3.6 notes on switching i/o mode of bidirectional pin (1) although the switching of the bidirectional pins i/o mode is generally carried out at the basic timing, for the dc test pattern and function test pattern, it is possible to shift the i/o switch timing of a single set. this is known as the i/o modulation function (refer to 6.3.7 i/o modulation function f or details). note, however, that the bidirectional pin i/o mode cannot be switched using the rz signal (because the mode will change twice within 1 rate: input output input. see figure 6-2 .) figure 6-2. example of incorrect bidirectional pin switch timing
210 chapter 6 test pattern generation design manual a13826ej7v0dm input mode (high impedance) high impedance input (driver) output (comparator) tt lsi pin lsi tester contention external pin internal data clock input f/f (2) in cases when an input delay has been added to the control pin in the bidirectional pin i/o mode, or when the i/o mode switch timing is different to the basic timing because there is a delay until the internal circuit is enabled, ensure that the input and output values match when switching the i/o mode. this processing prevents a current from flowing when the devices output signal conflicts with the lsi testers driver (input), and is used to avoid power supply modulation or other such causes of malfunction. if it is not possible to match the input and output values, ensure that the conflict does not exceed 20 ns (see 6.3.8 i/o conflict ). note that it is prohibited to input the rz signal (clock waveform) to a bidirectional pin. figure 6-3. contention during input/output switching remark t: p attern period (3) in cases when due to the circuit specifications of pci bus circuits, etc. the i/o mode switch timing differs from the basic timing, and the bidirectional mode is switched after the pre-switching value is fetched inside the circuit, use the i/o modulation function (refer to 6.3.7 i/o modulation function f or details).
211 chapter 6 test pattern generation design manual a13826ej7v0dm t input input output i/o switching i/o switch signal ? t d ? t d tt 6.3.7 i/o modulation function although in the case of the dc test pattern and function test pattern it is possible to shift the i/o switch timing of a single set, the following restrictions apply. remark t: p attern period ? t d : input delay of i/o switch signal when shifting the i/o switch timing from the basic timing, the i/o switch time on the tester side is set as the i/o modulation. the following expression must be satisfied, assuming ? t r max is the slowest time of all the pins and patterns among the bidirectional pin (simulation result) i/o switch times, and ? t s is the i/o modulation time. ? t s ? t r max + 5 ns the reason for this is that in cases when the bidirectional mode is switched after the pre-switching value is fetched inside circuits such as a pci bus circuit, because it is necessary to hold the external (lsi tester) value until the pins i/o mode has been switched, the circuit must be driven longer (i/o mode switching delayed longer) than in the simulation result: 5 ns of the skew between the lsi tester pins.
212 chapter 6 test pattern generation design manual a13826ej7v0dm ? t s ? t s ? t r3 ? t r4 ? t r1 ? t r2 ? t d ? t d t t t input input output i/o switch signal i/o switching 1 i/o switching 2 i/o switching by tester (i/o modulation time) ? t s t i/o switch signal ? t p other input remark t: p attern period ? t d : input delay of i/o switch signal ? t r1 to ? t r4 : bidirectional pins i/o switch times ( ? t r3 in the above figure corresponds to ? t r max in the aforementioned equation.) ? t s : i/o modulation (i/o switching on the tester side) time in addition to satisfying the above conditions, the following restrictions must be observed. item i/o modulation ( ? t s ) interval between i/o modulation and other input delay ( ? t p ) min. max. min. restriction 10 ns t ?10 ns 10 ns when setting both the input delay ( ? t d ) and i/o modulation ( ? t s ) for the same pin, ensure that either of the following is satisfied: ? t s = ? t d , or ? t s + 10 ns ? t d . note that the i/o conflict time must be kept within 20 ns, even when using the i/o modulation function.
213 chapter 6 test pattern generation design manual a13826ej7v0dm 6.3.8 i/o conflict if it is not possible to match the bidirectional pins input and output values, the i/o conflict must not exceed 20 ns. the reference for judging i/o conflict is shown below. simulation result expected value input mode undefined output 1 0, x 0, x output 0 1, x 1, x output x 0, 1, x 0, 1, x, z 6.3.9 testing multifunction i/o circuits (1) oscillators oscillators cannot be actually oscillated and tested with a simulator and lsi tester. input a dummy signal to the input pin of the oscillator. use the inverted signal of the input signal as the expected value of the output of the oscillator. the oscillator input signal is equivalent to the clock signal. because a stable test cannot be performed due to conflict if this input signal and external data or set/reset input signals are changed at the same timing, be sure to stagger the timing. because the test pattern is not modeled in an oscillating state, the external timing of data or reset signals related to the clock in an oscillating state (oscillator input signals) is not tested. (2) open-drain output the expected output value in the case of output disable must be high impedance (z).
214 chapter 6 test pattern generation design manual a13826ej7v0dm 6.4 notes on creating dc test patterns restrictions for dc test patterns are basically same as those for function test patterns. the test pattern is not only used to test the functions but also used to test dc characteristics during shipment inspection. therefore, the following points must be noted in creating a test pattern. <1> if possible, prepare a dedicated test pattern set for the dc test pattern. <2> the length of the dc test pattern should be more than 150 patterns. if the length of the pattern exceeds 32,000, perform the dc test between 1st and 32000th pattern. <3> if possible, make input pins change at least two times (except for oscillation stop control pin). <4> output pins must output a high level and a low level at least once each. <5> the output pin of a three-state output buffer must output a high-impedance state (off state). <6> when a bidirectional buffer is used, make sure that the input state and output state are switched at least once. <7> the test cycle must be sufficiently longer than the delay time (operating time) of the circuit. the basic cycle is 200 ns. be sure to set the output determination time (strobe time) towards the end of the cycle (this is so the output is determined after the circuit has entered the stable state). <8> if an rz (return to zero) signal is input to an input pin, make sure that the rz signal is not output as is. the output value of the output pin that outputs the rz signal is always either one of two values at output determination time, and the other value cannot be tested. <9> bus fighting and bus floating for the internal bus is prohibited. <10> initialize the circuit until 50th pattern. <11> the iddq test is performed in the dc measurement pattern. the measurement pattern is selected automatically. if possible, operate the internal circuit to improve coverage. <12> be sure to set to oscillation mode when an oscillator block is mounted. <13> in the test pattern in oscillation mode, input the same pattern as normal clock pattern for the input pin (xt1) and expected value of output pin (xt2) should be its inverse. the rz signal has changes of ? 1 0 and ? 0 1 in one test pattern (1 test rate). by contrast, the nrz (no return to zero) signal has only one change in one test pattern (1 test rate).
215 chapter 6 test pattern generation design manual a13826ej7v0dm dc test pattern function test pattern high-speed function test pattern initialization pattern ram test circuit connection verification pattern initialization pattern initialization pattern 6.5 test pattern for on-chip ram nec electronics supplies a test pattern for ram, and the user does not have to consider ram tests. however, the following limitations are applied if nec electronics supplies the test pattern for ram. (for details, see 7.4 memory ). (1) additional ram pins (tin, teb, and tout) are needed in order to test the ram. (2) if there are multiple rams or connections between ram and logic circuits, the connection to each ram must be tested by the user test patterns. (3) be sure to set the teb pin to user mode (high) for each of the user test patterns. figure 6-4. test pattern example
216 chapter 6 test pattern generation design manual a13826ej7v0dm test cycle t [ns] 15 ns strobe time t ? 10 ns 6.6 high-speed function test (real-time test) checking the designed circuit through simulation at the actual operating frequency is a very effective technique f or checking the actual operation of the lsi. in this way, problems concerning the timing of the circuit during actual operation that may have been overlooked by the designer can be found. during the shipment inspection of the product, the actual operating conditions cannot be always simulated because the performance of the lsi tester may be limited. the high-speed function test, however, can simulate conditions very close to the actual operating conditions. this section describes the following limits of the high-speed function test. create a test pattern observing these limits. 6.6.1 limitation of the test pattern length the length per test pattern must consist of 32,000 patterns max. 6.6.2 test cycle (test rate) the test rate is referred to as the cycle of one test pattern. currently, the test cycle limitation at nec electronics for a general high-speed function test is as follows: t est cycle: 50 ns min. 6.6.3 output determination time (strobe time) the output determination time (strobe time) indicates the time required to verify the output value of the product against the expected value on the test pattern. currently, up to tw o strobe times can be assigned per test pattern. however, only one strobe time can be assigned per pin. if three or more strobe times or several strobe times per pin m ust be established, each one must have its own test pattern. setting strobe time within 15 ns the beginning of the basic timing or with 10 ns before the end of the timing is prohibited. figure 6-5. strobe time caution open-drain, gtl, and hstl buffers are not real-time test targets.
217 chapter 6 test pattern generation design manual a13826ej7v0dm 6.6.4 notes on high-speed function testing to conduct the high-speed function test, execute min/max simulations under the following conditions. the results of both the sim ulations m ust match. confirm these simulations before and after placement and routing. note that i/o modulation cannot be used. ask nec electronics for the delay data (path delay file) after placement and routing. at this time, the load capacitance data file (dif file) for the output pins used for simulation is necessary. submit this file to nec electronics. f or the format of the dif file, see appendix c albatross and dif file formats . the purpose of these simulations is to detect the possibility of occurrence of problems when inspection is performed with an lsi tester. therefore, conditions different from the actual operating conditions must sometimes be set. specify settings of the time condition for input signals, the output determination time (strobe time), and the test cycle (test rate) for each phase in ?igh-speed function test guidelines? <1> max. simulation conditions t est cycle (t): user-specified value load capacitance (c l ): bidirectional pin: 125 pf, max. value of load capacitance with lsi tester output pin: 90 pf strobe time: set to specified v alue ? ns with skew of strobe time assumed to be ? ns <2> min. simulation conditions t est cycle (t): user-specified value load capacitance (c l ): 50 pf, min. value of load capacitance with lsi tester strobe time: set to specified v alue +5 ns with skew of strobe time assumed to be +5 ns during real-time simulation, the simulation result may not converge in one pattern and the output may change at the next pattern, as shown in figure 6-6. if the simulation result is different between the max simulation and min simulation, take the following measures: change the expected output value of the test pattern, which differs between the two test patterns, to ? (don? care). synthesize the test patterns (see figure 6-6 ). alternatively, include only the timing actually requiring inspection as the system, as the expected values.
218 chapter 6 test pattern generation design manual a13826ej7v0dm minimum simulation result output value maximum simulation result output value expected value after output synthesis ? ? ? ? ? ? ? ? ? ? ? ? figure 6-6. real-time simulation results
219 chapter 6 test pattern generation design manual a13826ej7v0dm 6.7 testability (fault coverage) 6.7.1 consideration of testability (fault coverage) f ault sim ulation is a way to verify the testability (fault coverage) when an asic is developed. in other words, it diagnoses the validity of a test pattern created to test the functions of logic circuits and detects the faults that are not detected by that test pattern. during the asic manufacturing process, various faults may arise. these faults are broadly classified into dynamic f aults and static faults. dynamic faults create long delay paths, spikes, and timing violations. such faults are caused by the operating environment or design errors. static faults are represented by physical damage to the chip such as routing shorts and opens. in most of the cases, the production process is responsible for these faults. logic simulation verifies the functions and timing of a created circuit. however, it does not verify the test efficiency of a test pattern for detecting static faults in the chip actually produced. fault simulation defines static faults in the circuit and verifies whether faults have been accurately detected by the input test pattern from the output pins of the asic developed. the purpose of fault simulation is to inspect how efficiently test patterns can detect a fault at the boundary of the function blocks of the created circuit. the test efficiency of these test patterns is called testability (f ault co v er age) and is expressed as a percentage to indicate how well the test patterns can detect the faults in the circuit. t estability (fault coverage) = number of faults detectable by given test input pattern 100 (%) t otal number of faults in circuit tested if the testability (fault coverage) is low, the lsi may not be tested well and defective products may be shipped. nec electronics recommends that the fault coverage, as far as possible, be made at least 90% in order to raise the quality of the product. to improve testability (fault coverage), it is recommended to provide a test circuit at the circuit design stage and to employ the scan path test method. 6.7.2 principle of fault simulation f ault simulation generally operates by the same algorithm as the logic simulation that tests the logical functions. in the execution of fault simulation, however, the faults can be set in the circuit. figure 6-7 shows examples of fault simulation.
220 chapter 6 test pattern generation design manual a13826ej7v0dm a b c d e f g j h i a b c d g a b c d g a b c d g figure 6-7. concept of fault simulation (1/2) (a) circuit example (b) test patterns it is assumed that this circuit has a fault and that the output of the 2-input and gate h is always at the low level. if an input signal the same as figure 6-7 (c) is input in this case, it can be seen that the result of output ? will be different (see figure 6-7 (c) and (d) ). accordingly, this fault can be detected by these test patterns. (c) observation of point e and point f (d) fault result of and h
221 chapter 6 test pattern generation design manual a13826ej7v0dm a b c d g there may also be cases of a fault in which the output of the 2-input and gate i is always at the low level. as shown in figure 6-7 (e), this input signal (test pattern) becomes the same as the test pattern in figure 6-7 (c), which shows that they are ineffective in detecting this fault. figure 6-7. concept of fault simulation (2/2) (e) fault result of and i f ault simulation defines these types of faults one by one with respect to the internal circuit and checks whether the defined faults can be detected at the output pin by performing simulation. the types of faults that can generally be defined by fault simulation are called single degenerate faults. the following two types of single degenerate faults are defined in circuits: <1> stuck-at-1: fault where a given part is fixed at the high level (?? <2> stuck-at-0: fault where a given part is fixed at the low level (??
222 chapter 6 test pattern generation design manual a13826ej7v0dm specification design circuit design design of test patterns for system simulation ng system simulation ok extraction of test patterns for chip as an independent unit revision of i/o attribute input of bidirectional z revision of x input revision of input skew ng ok simulation chip as an independent unit interface with nec electronics test patterns 6.8 consideration of system simulation system simulation is a method for checking the functions of a gate array under development by simulating the operations of the gate array in an environment close to the actual operating environment, such as on a board or in equipment. after checking the functions of the gate array in equipment, the test pattern of the gate array alone is extracted by monitoring the signals at the input/output pins of the gate array. this test pattern can be used as a test pattern for lsi testing. however, be sure to confirm that there is no problem (that the points to be noted in creating the test pattern are satisfied) by e x ecuting a sim ulation of only the gate arr a y. figure 6-8. creating test patterns by system simulation
223 design manual a13826ej7v0dm chapter 7 multifunction blocks the cmos-n5 series offers the following multifunction blocks, in addition to the normal function blocks. this chapter explains the functions and usage of each multifunction block. buffer with fail-safe function buffer with on-chip pull-up/pull-down resistors oscillator memory block megamacros (under development)
224 chapter 7 multifunction blocks design manual a13826ej7v0dm v f v o v o v o ? f v dd i dd v f v i ? f v i v dd i dd v dd v i (c) conventional n-ch open-drain buffer (d) n-ch open drain with fail-safe function 7.1 buffer with fail-safe function because input voltage higher than v dd cannot be applied to a conventional gate array, no i/o voltage can be applied when the supply voltage of the gate array is turned off. a buffer with a fail-safe function can accept voltage even if the supply voltage to the gate array is off. if a high-level signal is input to the normal input buffer while the power supply to the gate array is off, voltage is applied to the power line via a protection diode (see figure 7-1 ). also, if a high-level signal is input to n-ch open drain pins while the power supply to the gate array is off, voltage is applied to the power line via a protection diode. the b uffer with a fail-safe function prevents voltage being applied to the power line when the supply voltage to the gate array is off, even if a high-level signal is input. it can therefore be used for hot insertion and removal as long as the specified static voltage condition is satisfied. figure 7-1. equivalent circuit diagram for buffer with fail-safe function (a) conventional input buffer (b) input buffer with fail-safe function
225 chapter 7 multifunction blocks design manual a13826ej7v0dm v dd v dd v dd pad pad pad pad pad pad during simulation, undefined (x) or high-impedance (z) values cannot be input to the input pins of the input buffers with on-chip pull-up/pull-down resistors and bidirectional buffers. the expected output value must be set to high impedance (z) or don? care (x) when the output pins of 3-state output bu ffers and bidirectional buffers with on-chip pull-up/pull-down resistors are not active. pull-down resistor 7.2 input/output/bidirectional buffers with on-chip pull-up/pull-down resistors the cmos-n5 series has input buffers, schmitt input buffers, 3-state output buffers, n-ch open-drain output b uffers, bidirectional buffers, schmitt input bidirectional buffers, and i/o blocks with on-chip pull-up/pull-down resis tors. by using these, a more compact system can be created. f or the name of each block, contact nec electronics. pull-up resistor
226 chapter 7 multifunction blocks design manual a13826ej7v0dm v dd input a (v i ) output b (v o ) output c r d resonator inside lsi chip c in c out remark evaluation using an evaluation sample (es or cs) is required to determine capacitors c in and c out , limiting resistor r d , and current consumption. 7.3 oscillator 7.3.1 configuration of oscillator three types of dedicated oscillator blocks are provided for configuring an oscillator: one using external feedback resistors, one using internal feedback resistors, and one that includes an oscillation stop function. an oscillator can be configured using any of these blocks simply by connecting a resonator, capacitor, and limiting resistor to the external pins. note that the maxim um n umber of dedicated oscillator b loc ks that can be used is tw o (three or more b loc ks are not suppor tab le). when tw o b loc ks are used, be sure to place the b loc ks at opposite polar ity to pre v ent m utual interf erence , and design so that each circuit oper ates on a separ ate cloc k. if it is necessary to use more than one b lock, contact nec electronics. in addition, do not use the clock generated by the oscillator on the both rising and falling edges. the recommended oscillation frequency range and the combination of blocks for oscillator configuration are shown in table 7-1. f or the configuration of an oscillator block whose placement is restricted, see the tables concerning pins that can be used for oscillators in cmos gate array, embedded array package design manual (a16400e) . when using an oscillator block that includes a stop function, be sure to control stopping the oscillator from an external source. note that although there is no restriction on the placement of the stop control pin, it should be placed as close as possible to the oscillator block. ta bl e 7-1. recommended oscillation frequency range and configuration f eedback resistor stop function configuration frequency placement restrictions input output external 1 m ? no osi4 oso9 mhz band no internal no osi1 oso1 mhz band yes ye s osi2 oso7 figure 7-2. example of oscillator configuration
227 chapter 7 multifunction blocks design manual a13826ej7v0dm c in c out input pin output pin xt1 xt2 i1 o2 o r f osi1 to internal circuit oso1 c in c out input pin output pin xt1 xt2 i1 o2 o r f osi4 to internal circuit oso9 remark when using oso9, an external feedback resistor with a value of 1 m ? , r f , is required. (b) osi4 + oso9 7.3.2 description of oscillator describe as follows when using an oscillator. figure 7-3. oscillator configuration (1/2) (a) osi1 + oso1
228 chapter 7 multifunction blocks design manual a13826ej7v0dm xt1 h01 s 1 n01 xt1 en o 00 0 10 1 11 1 01 x osi2 equivalent circuit osi2 truth table oso7 equivalent circuit o v dd use prohibited en = h s 1 : close en = l s 1 : open h02 h02 h01 n02 n01 xt2 en i1 o2 en i1 en xt2 00 1 10 0 11 0 01 x o2 1 0 0 x oso7 truth table use prohibited c in c out input pin output pin to internal circuit xt1 osi2 xt2 i1 en o2 oso7 stop control pin input buffer en o r f caution the input pin (xt1) must be set to high level when oscillation is stopped. remark the output of o2 is low level when oscillation is stopped. the equivalent circuits and truth tables of osi2 and oso7 are shown below. figure 7-3. oscillator configuration (2/2) (c) osi2 + oso7
229 chapter 7 multifunction blocks design manual a13826ej7v0dm describe the test pattern of an oscillator as follows. use the inverse of the pattern transmitted to the internal circuit as the input pattern of the input pin (xt1) of osi1, osi2, and osi4. use the same pattern that was transmitted to the internal circuit as the output pattern of the output pin (xt2) of oso1, oso7, and oso9. always input 0 to the stop control pin of oso7 in the dc test pattern. the pattern transmitted to the internal circuit and the pattern output to the output pin (xt2) of oso1, oso7, and oso9 is the inverted input pattern. 7.3.3 using oscillator (resonator) and cts together when using an oscillator (resonator) and cts together, the cts buffer must not be in an unstable state before oscillation starts, so implement a function that fixes the output value of the cts buffer by inserting a gate circuit in front of the cts buffer and connecting the reset pin of the f/f, etc., to that circuit. figure 7-4 shows an example of the recommended circuit configuration. figure 7-4. example of recommended circuit configuration input pin output pin xt1 xt2 i1 o2 o osi1 reset circuit or and oso1 reset cts buffer f/f n02 remark add a cts reset input circuit (circuit in the dotted lines) between the oscillator output and the cts buffer and ensure that the cts output value is fixed when a reset occurs.
230 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.3.4 notes on configuring an oscillator because the cmos-n5 gate array has an oscillation dedicated block, it can be used to configure an oscillator by connecting a resonator and external constants outside the package. although an oscillator can be easily configured, certain differences from logic circuits must be noted because an oscillator is an analog circuit that operates at a high frequency. in order for the oscillator to operate stably, it is necessary to optimize the external constants (input capacitor, output capacitor, and limiting resistor). in addition, because the oscillator is an analog circuit, the following points must also be noted. <1> place v dd and gnd as follows around the oscillator pins (oscillator). v dd gnd v dd osix block pin osox block pin gnd remarks 1. do not include the osox (oscillator) block pin as a target of the simultaneous operation review. 2. v dd and gnd surrounding the oscillator pins can be used in the simultaneous operation review. <2> place the pins that may cause malfunction due to noise such as clock pins and reset pins as far as possible from the oscillator pins. <3> output buffers are a source of noise, and so should be placed as far as possible from the oscillator pins (oscillator). <4> the following points must be noted regarding the printed circuit board. ? lace the input and output pins, and the resonator and external constants of the oscillator as close together as possible, and keep the length of the wiring between them as short as possible. ? eep the length of the wiring between the gnd of the capacitors and of the gate array as short as possible. use as thick a wiring line as possible. ? eep the leads of the resonator and capacitors as short as possible. secure the resonator and capacitors onto the printed circuit board to minimize the effects of mechanical vibration. enclose the external constants in a gnd pattern as far as possible. figure 7-5. example of gnd pattern on board <5> to input the clock generated by the external oscillator from the input pins (osi1, osi2) of the oscillation block, connect it to the xin (osi1, osi2) side and leave the xout (oso1) side open. since the oscillator is logically an inverter, a signal consisting of the inverted signal is input to the internal circuit. ic board gnd pattern
231 chapter 7 multifunction blocks design manual a13826ej7v0dm the following points must be noted during evaluation to determine the external constants. it is recommended to attach an overtone circuit (lc). figure 7-6 shows circuit examples. ? va luate the oscillator considering variations in the oscillation start time and voltage (etc.). use the printed circuit board that is to be actually used (because the oscillation operation range may fluctuate due to the difference in the dielectric constant of the board). check the external constants using a developed cmos-n5 gate array (es or cs) and the resonator to be actually used. figure 7-6. example of overtone circuits <1> <2> <3> c in c out r d ct c in lt ls lt r d c out ct c in ct c out lt <4> c in ct lt c out r f r f r f r f remark the section within the broken lines is the overtone circuit.
232 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.3.5 constants of external circuit an evaluation of the matching with the resonator is required to generate a clock signal. table 7-2 shows an example of the criteria for this evaluation. determine the parameters to be measured through consultation with the resonator manufacturer. ta b le 7-2. example of criteria items to be measured determination criteria <1> oscillation frequency frequency must be within accuracy of resonator <2> oscillation start voltage (v s ) 2.0 v or less <3> oscillation hold voltage (v h )v h v s <4> operation on power application check oscillation by repeatedly turning power on and off <5> current consumption as low as possible <6> peak value of oscillated waveform 3.2 v v ih , v oh v dd 0 v v il , v ol 1.3 v <7> duty factor 50% 10% note that oscillation is evaluated with an es or cs model. however, because all the gate array, resonator, and e xternal constants are subject to variations due to production and operating conditions, take these variations into consideration during evaluation. when e v aluating par ameters <4> through <7> abo v e , fluctuations in the po w er supply and temper ature of the gate arr a y m ust also be tak en into consider ation. measure these par ameters under the f ollo wing min., typ ., and max. conditions . [example] when fluctuations in power supply and temperature are taken into consideration: t a = ?0 to +85 c v dd = 5 v 10% measure these parameters under the following min., typ., and max. conditions. min. typ. max. t a ( c) ?0 25 +85 v dd (v) 5.5 5.0 4.5 remark the v alues in this tab le indicate the conditions of the min., typ ., and max. v alues of the propagation dela y time (t pd ) of the gate arr a y , and do not ref er to the min., typ ., and max. v alues of the oscillation frequency of the resonator . ta b le 7-3 shows the resonators externally connected to oso7 (oso1) or oso9, the recommended external constants, and the circuit configuration. this data was evaluated in cooperation with each resonator manufacturer. caution if the frequency e xceeds 40 mhz when using a resonator, be sure to contact nec electronics beforehand.
233 chapter 7 multifunction blocks design manual a13826ej7v0dm ta b le 7-3. list of resonator evaluations (1/2) (a) v dd = 5.0 v 10% material resonator frequency product name capacitor recommended external constant manufacturer (mhz) old new c in (pf) c out (pf) r d ( ? ) ceramic murata mfg. 16 csa16.00mxz040 external 30 30 22 <1> co., ltd. 32 csa32.00mxz040 10 10 22 32 csacw3200mx01 csacw32m0x51-r0 10 10 22 40 csa40.00mxz040 7 7 22 2 csts0200mg06 cstls2m00g56-b0 internal 1.5k <2> 2 cstcc2.00mg0h6 cstcc2m00g56-r0 1. 5k 4 csts0400mg06 cstls4m00g56-b0 680 4 cstcc4.00mg0h6 cstcc4m00g56-r0 680 8 csts0800mg06 cstls8m00g56-b0 220 8 cstcc8.00mg0h6 cstcc8m00g56-r0 220 16 cst16.00mxw040 22 <1> 16 cstcv16.00mxj0c4 cstcv16m0x54j-r0 100 <2> 40 cstcw4000mx01 cstcw40m0x51-r0 22 ky ocera 4.00 pbrc4.00hr internal 3.3k <2> corporation 8.00 pbrc8.00hr 1.5k 16.00 ssr16.00br-mn1 330 20.00 ssr20.00br-h8s 100 33.86 ssr33.86br-alp note 2 <3> notes 1. the figures in this column correspond to the figures on figure 7-7 oscillator configuration diagram . 2. surface mount type. a 6.8 k ? e xternal feedback resistor is required for oso7 (oso1). remarks 1. oscillation environment: v dd = 5.0 v 10%, t a = ?0 to +85 c 2. external feedback resistor of oso9: 1 m ? circuit configuration note 1
234 chapter 7 multifunction blocks design manual a13826ej7v0dm ta b le 7-3. list of resonator evaluations (2/2) (b) v dd = 3.3 0.3 v, v dd = 3.0 0.3 v material resonator frequency product name capacitor recommended external constant manufacturer (mhz) old new c in (pf) c out (pf) r d ( ? ) ceramic murata mfg. 2 cstcc2.00mg0h6 note 2 cstcc2m00g56-r0 note 2 internal 1.5k <2> co., ltd. 4 cstcr4m00g55-r0 note 2 680 4 csts0400mg06 cstls4m00g56-b0 680 5 cstcr5m00g55-r0 note 2 470 5 csts0500mg06 cstls5m00g56-b0 470 8 cstce8m00g55-r0 note 2 220 8 csts0800mg06 cstls8m00g56-b0 220 10 cstce10m0g55-r0 note 2 150 10 csts1000mg06 cstls10m0g56-b0 150 16 cstce16m0v53-r0 note 2 100 20 cstcg20m0v53-r0 note 2 68 32 cstcg32m0v53-r0 note 2 47 notes 1. the figures in this column correspond to the figures on figure 7-7 oscillator configuration diagram . 2. surface mount type. remarks 1. oscillation environment: v dd = 3.3 0.3 v, v dd = 3.0 0.3 v, t a = ?0 to +85 c 2. external feedback resistor of oso9: 1 m ? the circuit configuration is shown in figure 7-7. in addition, it is recommended to reduce the capacitance of the system board (reduce the influence on c1 (c in ) and c2 (c out ) as much as possible. figure 7-7. oscillator configuration diagram remark r f : f eedback resistor circuit configuration note 1 r f r f r d r d c2 c2 c1 c1 circuit configuration <1> (on-chip r f type) circuit configuration <2> (on-chip r f type) r f c2 c1 circuit configuration <3>
235 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.4 memory the cmos-n5 series can be used to place memory blocks. this section explains the types of memory blocks and the points to be noted in using the memory blocks. 7.4.1 types of memory blocks the types of memory blocks available in the cmos-n5 series are listed below. single-port ram dual-port ram lists of each memory block are provided in table 7-4. these memory blocks can also be mixed together. ta b le 7-4. memory blocks (a) single-port ram number of words 16 32 64 128 256 512 1k 2k number of bits 4 rb47 rb49 rb4b rb4d rb4f rb4h rb4m rb4s 8 rb87 rb89 rb8b rb8d rb8f rb8h rb8m 10 rbab rbad rbaf rbah 16 rbc7 rbc9 rbcb rbcd rbcf rbch rbcm 20 rbeb rbed rbef rbeh 32 rbh7 rbh9 rbhb rbhd rbhf rbhh 40 rbkb rbkd rbkf rbkh (b) dual-port ram number of words 16 32 64 128 256 512 number of bits 4 r947 r949 r94b r94d r94f r94h 8 r987 r989 r98b r98d r98f 10 r9ab r9ad 16 r9c7 r9c9 r9cb r9cd r9cf 20 r9eb r9ed 32 r9h7 r9h9 r9hb 40 r9kb
236 chapter 7 multifunction blocks design manual a13826ej7v0dm di ad web reb csb teb tin test circuit (bist) teb tin cd td ta twe tout do tout do di ad web reb csb basic macro (hard macro) selector circuit 1 0 1 0 1 0 sel 7.4.2 ram blocks as shown in figures 7-8 and 7-9, the high-density rams of the cmos-n5 series have a bit/word architecture based on basic macros (hard macros). the bist (built-in self test) circuit and on-chip selector are configured by soft macros. this architecture eases restrictions on placement and routing, and reduces complexity when multiple rams are incorporated. the memory test is a test-dedicated macro, called bist, that is incorporated in a soft macro. three test pins eliminate the trouble of directly testing the i/o of all the pins. when using an nec electronics ram, be sure to use an nec electronics standard test circuit (bist). figure 7-8. single-port ram circuit configuration
237 chapter 7 multifunction blocks design manual a13826ej7v0dm test circuit (bist) teb tin cd td ta twe tout do tout do di wa web csb basic macro (hard macro) di wa web wsb teb tin selector circuit 1 0 1 0 1 0 sel ra rsb ra rsb selector circuit 1 0 sel figure 7-9. dual-port ram circuit configuration
238 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.5 writing memory blocks when writing memory blocks as circuit diagrams or connection data, bear in mind the following points. 7.5.1 selecting memory blocks the ram blocks of the cmos-n5 series are configured as soft macros. therefore, ram blocks with any bit/word configuration can be placed by combining the basic memory cells. however, the blocks that are used frequently are registered in advance as simulation models (see cmos-n5 series memory block library (a14683e) ). select the b lock with the bit/word size closest to your needs from these models. if a memory block with the desired size does not exist because the number of bits is exceeded, connect blocks of the same word size with different bit size in parallel. conversely, if the number of words is exceeded, divide the addresses by creating a chip select signal with a decoder, in the same manner as an ordinary memory circuit. when using a memory with an unmatched number of bits and words, use soft macros in the way described above. f or the circuit configuration and test circuit (bist) configuration, consult nec electronics. 7.5.2 using memory blocks memory blocks, as with other function blocks, have specifications for fan-in (f/i) and fan-out (f/o); see the cmos- n5 series memory block library (a14683e) . the blocks must be connected without exceeding restrictions such as the limit on the number of fan-outs.
239 chapter 7 multifunction blocks design manual a13826ej7v0dm tin teb cd (ram output) ta td tout twe timing generator comparator address generator write data generator expected value generator exd web generator 7.6 memory test 7.6.1 ram test because the ram block of the cmos-n5 series employs bist, the limits on the number of test patterns is relaxed, so that the user can easily check the memory. bist consists of signal generators for test signals including test address, test data, and test enable, as well as an e xpected value generator, and a comparator, as shown in figure 7-10. the user simply needs to connect the three pins, tin, teb, and tout, to external pins to test a ram. when placing two or more ram blocks, the test inputs (tin and teb) can be shared with the respective pins of the other ram blocks. the test output (tout), however, cannot be shared. connect the test output to different external pins. figure 7-11 shows a connection example. to test ram, basically, data is applied from an external input pin to a test input pin (tin or teb), and a test output (tout) extracted from an external pin. if the signal is inverted or a clock is necessary because an inverter or flip- flop is used, the basic test pattern cannot be used. the final state of the users test pattern must be in a state in which the test can be conducted (a state in which tin, tout, and teb can transfer the ram test signal from external pins). the ram test patter n is prepared b y nec electronics. figure 7-10. test circuit (bist) block diagram
240 chapter 7 multifunction blocks design manual a13826ej7v0dm internal gate fo01 tout fi01 teb fi01 tin din adm web reb csb teb tin don tout figure 7-11. ram test circuits (1/2) (a) connection example for placing one ram block
241 chapter 7 multifunction blocks design manual a13826ej7v0dm fo01 t01 teb tin tout fi01 teb fi01 tin fo01 t02 teb tin tout fo01 t0 (n ?1) teb tin tout fo01 t0n teb tin tout ? ? ? figure 7-11. ram test circuits (2/2) (b) connection example for placing multiple ram blocks (1) be sure to use one teb input and tin input. connect each input to the respective ram. even if the capacitance of the ram block differs, be sure to use one teb input and tin input commonly as shown in the figure. (2) the tout pins must be made independent and must be output to external pins.
242 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.6.2 assigning test i/o pins (tin, teb, and tout) (1) when there are unused pins if there are unused pins, excluding power supply pins and nc pins, they can be used for testing. (2) when there are no unused pins pins used for logic can also be used as test pins. the points noted below must be considered when making pins alternate-function. note that the teb pin is a dedicated pin, therefore it cannot be used for other functions. <1> making the tin pin alternate-function the tin pin can be used as a normal input pin and a normal output pin. to use as a normal output pin, the teb signal must be made an enable signal and the pin must be made bidirectional. the pin then can be used as an output pin during normal usage and as an input pin during testing. figure 7-12 shows a connection example. <2> making the tout pin alternate-function the tout pin can be used as a normal input pin and a normal output pin. to use as a normal input pin, the teb signal must be made an enable signal and the pin must be made bidirectional. the pin then can be used as an input pin during normal usage and as an output pin during testing. to use as a normal output pin, it can be used in combination with an internal selector circuit, and the pin can be switched by the teb signal. figure 7-13 shows a connection example. caution pins used for the gtl interface buffer, n-ch open-drain buffer, and cmos 5 v tolerant buffer cannot be made alternate-function pins. handling pins on the board handle each pin using one of the following procedures. <1> teb pin note 1 : us e a pull-up buffer ? pull up externally ? externally connect to v dd <2> tin pin note 2 : us e a pull-up buffer ? use a pull-down buffer ? externally connect to v dd ? externally connect to gnd notes 1. handle in the direction that is not the test mode. 2. when not alternatively used as a normal pin
243 chapter 7 multifunction blocks design manual a13826ej7v0dm internal gate tin internal gate tin teb internal gate tout teb internal gate tout teb d0 d1 a y figure 7-12. making tin pin alternate function (a) alternate-function use with normal input pin (b) alternate-function use with normal output pin (bidirectional pin) figure 7-13. making tout pin alternate function (a) alternate-function use with normal input pin (b) alternate-function use with normal output pin (bidirectional pin)
244 chapter 7 multifunction blocks design manual a13826ej7v0dm 7.6.3 checking connection of ram test circuit to check whether or not the bist circuit is properly connected, carry out checking by ramchk flow on opencad generating and adding the connection confirmation patterns (nine patterns) as shown in figure 7-14 to the end of the user-provided test pattern (test pattern for dc check: if the dc pattern is independent, then it is this pattern) by using opencad ramchk. be sure to perf or m ramchk bef ore submitting the test patter n to nec electronics . in addition, set the status in which input and output for the pin signals required to the bist test (teb, tin, and toutx) can be ex ecuted at the end of the test pattern (test pattern for dc check: if the dc pattern is independent, then it is this pattern.) the ram-bist test pattern is provided by nec electronics and thus the user does not need to generate it. figure 7-14. example of test patterns valid input value input other than ram test pin user? test patterns ??(don? care) store final value several tout outputs of the ram are output to the external pin. (all the expected output value are the same) test patterns for verification of ram test circuit connection 123456789 tout3 ??(don? care) tout2 ??(don? care) tout tin teb output other than ram test pin ??(don? care) (1) input other than ram test pin: input 9 patterns in such a way that the final value of the user test pattern is preserved. (2) teb: first input a high level for 1 pattern and then input a low level for 8 patterns. (3) tin: first input a low level for 1 pattern and then input 8 patterns of repetitive 01 signals. (4) t out : set the expected output value to 001000100. when multiple ram is placed, several tout outputs of the ram must be output to the external pins (the ram test is executed completely in parallel). (5) output other than ram test pin: set the expected output value to ? (don? care). remark the connection check pattern of bist is automatically generated by ramchk and thus it is not necessary to add it to the users patterns in advance. in addition, simultaneous checking can be carried out by connecting tin and teb in common in the gate array type ram and cell-based ic type ram.
245 chapter 7 multifunction blocks design manual a13826ej7v0dm combination circuit a i 1 i 2 i 3 i n f/f j f/f 2 f/f 1 f/f k f/f j + 2 f/f j + 1 sck sot sin smc o1 o2 on combination circuit b combination circuit c 7.7 scan path test block it is extremely difficult to generate a test pattern that checks the operation of an lsi efficiently with a circuit frequently using flip-flops and with a deep logical depth. scan path testing can change the connections of all the internal flip- flops of an lsi like shift registers. therefore, the circuit can be tested efficiently by easily initializing all the flip-flo ps of a circuit with a deep logical depth and reading all the flip-flop states in a certain state. f or details, see nec system lsi design design for test users manual . figure 7-15. theory of scan path test method remark i n : input signal when testing a combination circuit, or normal input on: diagnostic output when testing a combination circuit, or normal output sin: input signal when testing a sequential circuit smc: mode switching signal sck: test clock sot: diagnostic output when testing a sequential circuit
246 design manual a13826ej7v0dm appendix a power consumption (preliminary) an accurate calculation of the power consumption of internal circuits requires a very large amount of data, such as the capacitance, the number of synchronously operating blocks, and the operating frequency of each block. consequently, the calculation becomes too complicated to be performed. on the basis of assumptions concerning such items as circuit operation and configuration, nec electronics provides reference values for power consumption. it must be noted that these values may be larger or smaller than the actual values, depending on factors such as the users actual circuit and its configuration. this chapter provides a power consumption calculation method that divides the power consumption of the internal circuit into combination circuits, latches, flip-flops. this calculation should be used to review circuit power con sumption. however, if the results are to be used to calculate the life-span of a battery, an extra margin should be provided. internal cell power consumption p dcell = p dgate + p dlatch + p df/f + p dt (1) combination circuits p dgate = 6.73 f cell ( w) f: data operating frequency cell note :n umber of cells that operate at f note ?ell is not the number of blocks. (2) latches p dlatch = (p d(gate = on) n + p d(gate = off) (1 ?n)) f cell ( w) p d(gate = on) :3. 43 ( w/cell/mhz) n: gate on rate = t (gate = on) t (gate = on) + t (gate = off) p d(gate = off) :0. 23 ( w/cell/mhz) f: data operating frequency cell note :n umber of cells that operate at f note ?ell is not the number of blocks.
247 appendix a power consumption (preliminary) design manual a13826ej7v0dm (3) d-f/f, jk-f/f, shift registers, and counters p df/f = 2 p d(output) + p d(clk) (n ?2) f cell ( w) n p d(output) :1. 19 ( w/cell/mhz) p d(clk) :0. 68 ( w/cell/mhz) n: t (data) t (clk) t (data) : data cycle t (clk) : clock cycle f: clock operating frequency cell note :n umber of cells that operate at f example the following indicates the case when the clock has a cycle speed double that of 1 data cycle. n = 1/0.5 = 2 note ?ell is not the number of blocks. (4) t-f/f p dt = 3.8 f cell ( w) f: clock operating frequency cell note :n umber of cells that operate at f note ?ell is not the number of blocks. (5) load dependency of power consumption (preliminary) the power consumption depends to a great extent on the load capacitance, as expressed by p d = cv 2 f figure a-1. load dependency of power consumption under study t he unit power consumption when f/o = 2 is an extremely small 3 w/mhz. because power consumption has a significant effect on reliability, a realistic value must be used. the value covers a distribution of about 70% of load values, based on statistical data accumulated at nec electronics, such as wiring length and pin pairs. load = (f/i equivalent) example f/o : + l : (under study)
248 design manual a13826ej7v0dm appendix b propagation delay time the delay time of each block varies significantly with the input signal waveform as shown in figure b-1. with the cmos-n5 series whose delay time is as short as several 100 ps at each block, the influence of the input waveform is not negligible. figure b-1. delay time increase due to input waveform t r /t f (min) < t r /t f (typ) < t r /t f (max) consequently, the simulator considers the input waveform of each block so that a highly accurate delay simulation is executed. however, discrepancies in results due to the input waveform cannot be listed in the block library note . for this reason, the accuracy of the propagation delay time calculations listed in the block library note are valid only under certain limited conditions. the propagation delay times of critical paths, in which the load is likely to be light, are calculated accurately in the cmos-n5 series. note that figure b-1 is indicating a tendency only. verify the actual value by performing simulation. note cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) t r /t f (max) t r /t f (typ) t r /t f (min) output load delay time
249 design manual a13826ej7v0dm appendix c albatross and dif file formats c.1 albatross file format (circuit name.alb) (1) file format the albatross file format has the following restrictions: ? ree format ? arameters must be separated by blank space or a colon (:). each statement must be terminated with a semicolon (;). items within quotes (?? can be repeated. maximum of 80 columns per line (when the last character is not a semicolon, the line must continue on the next line) identifiers, pin names, and units (ns fixed) must be specified in uppercase letters pin names consist of a maximum of 64 characters the description of the timing data (modulation + clock) is based on the limitations shown in 6.6 high- speed function test (real-time test) . (2) file configuration the albatross file consists of the following seven parameters. *albatross ... file header *timing ... header period ... pattern period modulation ... input skew clock ... clock pin *end_of_timing ... end record *end ... file end (3) details of file the details of each parameter are as follows: (a) file header syntax: *albatross circuit; function: pattern header 1: circuit (character string) circuit name (b) header syntax: *timing function: header (c) pattern period syntax: period period_t time_unit; function: period value of pattern 1: period_t pattern cycle 2: time_unit cycle unit
250 appendix c albatross and dif file formats design manual a13826ej7v0dm (d) input skew syntax: modulation modulation_t time_unit: ?in? function: value of skew added to input pin 1: modulation_t value of input skew 2: time_unit unit of value of skew 3: pin pin name (e) clock syntax: clock type = type: ?h_time time_unit? pin; function: definition of clock pin and clock waveform type = type p: positive clock n: negative clock ch_time waveform time time_unit unit of change time pin pin name (f) end syntax: *end_of_timing; function: end (g) file end syntax: *end function: file end (4) example *albatross cf191 *timing; period 200 ns; modulation 20 ns: in1 in2 in3; clock type = p: 50ns 150ns: clk; *end_of_timing; *end
251 appendix c albatross and dif file formats design manual a13826ej7v0dm c.2 dif file format (circuit name.dif) f or details, see nec system lsi design opencad opc_vshell users manual (a16306e) . (1) file format the dif file format has the following restrictions: ? ree format the delimiter is a blank space. maximum of 512 characters per line the first column of a comment line begins with ?? (2) file configuration the dif file consists of the following three parameters: dif .... header /design .... design block /end .... end (3) details of file the details of each parameter are as follows: (a) header syntax: dif function: header (b) design block syntax: /pia function: all external pins (v dd , gnd, etc.) (c) condition block syntax: /pin function: overall design (such as value of pin capacitance added to output pin) (d) end card syntax: /end function: termination of dif file
252 appendix c albatross and dif file formats design manual a13826ej7v0dm (4) example *dif opc_pinbe (1.11) 2002.12.12 (12:39:32) /design 65880999 technology = cmosn5; condition = cmos_5.0v; master = 65880; pa ckage = lqfp; pins = 144; layer = 3l; /condition 1 /pin ado dir = input ; data1 dir = io ; pc1 dir = output ; /end pin /end condition /pia / epin pad ado p ad = 77 # dut_id 22 pin_type in block = xinb; data1 p ad = 24 # dut_id 179 pin_type io block = xwn2; pcr1 p ad = 125 # dut_id 68 pin_type out block = xb0d; /end epin /power pad gnd pad = 13 191; vdd pad = 14; /en d power /end pia /end design *end
253 design manual a13826ej7v0dm appendix d drawing circuit diagrams and timing charts d .1 drawing circuit diagrams t oday circuits are designed using an engineering workstation (ews). the circuit diagram drawn by the user is converted to nec format on the ews or through the interface service offered by nec electronics. when the user draws a circuit diagram, the following points should be kept in mind to ensure smooth interfacing with nec electronics. d .1.1 logic symbols as a general rule, use the logic symbols that are in the block library note . however, when there are differences between the ews library and the block library note , follow the format of the ews library. note cmos-n5 series (5.0 v) block library (a13872e) and cmos-n5 series (3.3 v) block library (a15895e) d .1.2 block names (function names) input buffers and other blocks have different designations, but may have logic symbols that are virtually the same. in particular, the various input/output buffer interface levels cannot be determined from simulation results. because of this, the block name should be entered so that it is easily understood. in addition, since block names are displayed in advance in ews libraries, entries do not have to be made when using the ews. d .1.3 pin names (i/o pin name of block) block i/o pins are named in the order ?01, h02,.../n01, n02,.... if a block has more than one i/o pin, the pin names m ust be used whenever possible. pin names are usually displayed in ews libraries. if a pin name is displayed, it does not have to be entered. for more information on displaying pin names, follow the instructions in the interface manual for the ews. d .1.4 gate names (specific name of each block) enter the respective characteristic gate names for block names entered in a circuit diagram. a gate name must consist of no more than 255 alphanumeric characters. to avoid duplication of gate names and pin names, make the names unique. when an ews is used, there are special cases where the naming rules are a function of the system being employed. f or details, follow the instructions in the interface manual for the ews.
254 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm tst rst clk in3 fi01 fi01 in2 f091 clmp h02 fi01 in1 ff01 ff02 ff03 s d c q qb r s d c q qb r s d c q qb r f614 f614 f614 n01 n01 n01 fo01 ob01 out f204 n01 nr01 (1) input pin names the pin name of an input pin must consist of 1 to 64 alphanumeric characters. in addition, undefined and high impedance states cannot be input to an input pin because this causes the measurement conditions to change during testing with the lsi tester, making measurement impossible. undefined and high impedance states also cannot be input to the input pins of input buffers and bidirectional buffers with on-chip pull-up/pull-down resistors. if undefined or high impedance states are input as a test pattern, an error will result when executing simulation. d .1.5 i/o pin names a pin name of up to 64 alphanumeric characters must be assigned to each i/o pin of the lsi device. each pin name m ust be unique and must not duplicate a gate name. when an ews is used, there are special cases where the naming rules are a function of the system being employed. f or details, follow the instructions in the interface manual for the ews. figure d-1. circuit diagram example
255 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm input signal output signal control signal bidirectional pin control signal expected value (input) (output) (input) when a bidirectional pin test pattern is generated, care must be taken with regard to the following points: <1> f or switching from the output mode to the input mode, set the input and output signals to the same level. <2> do not set the control signal to the undefined state (if the state of the control signal becomes undefined, an undefined state is propagated to the input signal, generating an error in simulation). during switching from the input mode to the output mode, an undefined state is propagated to the input signal due to the delay time of the control signal, generating an error in simulation. for such switching, it is important to configure the circuit so that an undefined state is not propagated to the input signal (see 6.3.6 notes on s witching i/o mode of bidirectional pin ). figure d-3. bidirectional pin test pattern generation (2) bidirectional pin names if the input and output of a bidirectional buffer are implemented from one pin, this must be named by using a bidirectional pin. the pin name must consist of 1 to 64 alphanumeric characters. figure d-2. bidirectional pin names
256 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm output pin control signal output pin output pin (output) high impedance figure d-5. 3-state output pin test pattern generation (3) 3-state output pin description a 3-state output pin must be named as shown in the example in figure d-4. the pin name must consist of 1 to 64 alphanumeric characters. figure d-4. 3-state output pin names
257 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm d .2 handling macros the logic of a large-scale circuit is often designed using hierarchical techniques to enable block design in a system and to diversify the man-hours needed for design. in the hierarchical design technique, functional units used in common are defined as macros (user macros). each lsi chip is designed by connecting several macros to enable a specified function. in particular, a large-scale circuit is usually divided into several blocks, each of which is a hierarchical block and combined to configure the entire circuit. when designing hierarchical circuits, note the following guidelines (see figure d-6 ). <1> each hierarchical block should perform a single logical operation. <2> make the design in a way that the total structure and the signal flow can be understood at the top level hierarchy (the top level hierarchy should be drawn on a single page). <3> whenever possible, design circuits that comprise a closed loop so that the loop fits within the macro. <4> input pins and clamps (if needed) must be on the same page. <5> note should be taken of the clock line flow. ensure that delay differentials between pages do not exceed basic r ules. <6> each macro (bottom hierarchy) must have a single function. <7> a page should not contain signal lines only (pass-through only). <8> external i/o buffers can be specified only at the top level hierarchy. avoid connecting i/o pins directly to an e xternal device from a lower macro other than that at the top level hierarchy. <9> a macro should not contain input, output, and bidirectional buffers.
258 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm top level hierarchy top level hierarchy input pin input pin input pin input buffer macro macro output buffer output pin output pin input buffer first level hierarchy first level hierarchy input pin input buffer output buffer lsi lsi figure d-6. handling macros (a) good example (b) bad example
259 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm pin name clk data out 123 4567 input output input output d .3 preparing timing charts if the user provides nec electronics with a timing chart for generating the test patterns, or even if the user generates the test patterns, the timing charts must be drawn using the guidelines explained in this section. (1) entry method the pin names of all i/o pins must be written in the vertical column. for each pattern, apply 1 or 0 level for inputs and the expected values for outputs. continuous sequential numbers, starting with 1, must be assigned to the test patterns. figure d-7 shows an example of filling out the timing chart. figure d-7. timing chart entry (2) timing discrepancies because the output in actual circuits changes after the input pattern is applied, there is a timing delay between the input and output, as shown in figure d-8 (a). however, the delay time between the input and output can be ignored when test patterns are generated, as shown in figure d-8 (b). the patterns must be generated so that the entire circuit operates at the same timing. figure d-8. timing chart example (a) actual circuit (b) timing chart entry (test pattern entry)
260 appendix d drawing circuit diagrams and timing charts design manual a13826ej7v0dm (3) handling of ? (undefined or don? care) depending on the configuration of the circuit, the value of an output pin may not be determined in the first few patterns. in that case, specify ? (undefined) as the expected value of the output pin. when specifying ?on? care in cases where, due to the operation of the circuit it cannot be determined whether the value will become ? or ?? only specify ? as the expected output value during the corresponding period. in this case, the e xpected value will not be collated. however, do not specify ? for the input pin. if ? is inadvertently specified for the input pin, an error will occur during simulation. if the input level of a certain period can be either high level or low level, specify either ? or ?? (4) handling of ? (high impedance) the output pins of a 3-state output buffer or a bidirectional buffer may become high impedance ? in some cases. in these cases, specify ? as the expected output value. however, do not specify ? for the input pin. if ? is inadvertently specified for the input pin, an error will occur during simulation. (5) repeated pattern when the same waveform is repeatedly input, such as in clock input, it can be efficiently input as a repeated pattern. (6) specifying critical path in terms of system design, signal paths in which speed (the delay time between input and output) is especially important and paths in which the propagation delay time between input and output must be within a certain period, can be specified so that they satisfy the required performance, if clarified at the time of design. if this is the case, specify the corresponding paths as critical paths. critical paths include the following three types: maximum delay time (t pd ) as an absolute value (max.) ?in imum delay time (t pd ) as an absolute value (min.) relative variable range between the paths specification of a critical path can be effective only for the delay time between input and output. a maximum of six paths can be specified as critical paths. entry example mode no. assigned pin output load pattern no. delay time (ns) determination (pf) min. max. 11 in1 out2 15 131 13 50 2 3 4 5 6
261 design manual a13826ej7v0dm appendix e list of blocks some blocks cannot be used, depending on the power supply voltage. : can be used blank: cannot be used e.1 interface block e.1.1 cmos level function block 5.0 v 3.3 v description cells (i/o) input buffer fi01 ? ? (1) fid1 ? 50k ? pull-down 3 (1) fiu1 ? 5k ? pull-up 3 (1) fiw1 ? 5k ? pull-up 3 (1) fis1w ? schmitt 6 (1) fds1w ? schmitt 50k ? pull-down 6 (1) fus1w ? schmitt 50k ? pull-up 6 (1) fws1w ? schmitt 50k ? pull-up 6 (1) input buffer with failsafe fia1 ? ? (1) fda1 ? 50k ? pull-down 3 (1) fie1w ? schmitt 6 (1) fde1w ? schmitt 50k ? pull-down 6 (1) input buffer with en(and) fn11 ? ? (1) fn21 ? 50k ? pull-down 6 (1) input buffer with en(or) fn13 ? ? (1) fn23 ? 50k ? pull-down 4 (1) output buffer fo09 ? 3ma 4 (1) fo04 ? 6ma 4 (1) fo01 ? 9ma 4 (1) fo02 ? 12ma 12 (1) fo03 ? 18ma 12 (1) fo06 ? 24ma 12 (1) low-noise output buffer fe09 ? 3ma 5 (1) fe04 ? 6ma 5 (1) fe01 ? 9ma 5 (1) fe02 ? 12ma 5 (1) fe03 ? 18ma 5 (1) fe06 ? 24ma 5 (1)
262 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) 3-state buffer b00t ? 3ma 7 (1) b0dt ? 3ma 50k ? pull-down 7 (1) b0ut ? 3ma 50k ? pull-up 7 (1) b0wt ? 3ma 5k ? pull-up 7 (1) b00e ? 6ma 7 (1) b0de ? 6ma 50k ? pull-down 7 (1) b0ue ? 6ma 50k ? pull-up 7 (1) b0we ? 6ma 5k ? pull-up 7 (1) b008 ? 9ma 7 (1) b0d8 ? 9ma 50k ? pull-down 7 (1) b0u8 ? 9ma 50k ? pull-up 7 (1) b0w8 ? 9ma 5k ? pull-up 7 (1) b007 ? 12ma 17 (1) b0d7 ? 12ma 50k ? pull-down 17 (1) b0u7 ? 12ma 50k ? pull-up 17 (1) b0w7 ? 12ma 5k ? pull-up 17 (1) b009 ? 18ma 17 (1) b0d9 ? 18ma 50k ? pull-down 17 (1) b0u9 ? 18ma 50k ? pull-up 17 (1) b0w9 ? 18ma 5k ? pull-up 17 (1) b00h ? 24ma 17 (1) b0dh ? 24ma 50k ? pull-down 17 (1) b0uh ? 24ma 50k ? pull-up 17 (1) b0wh ? 24ma 5k ? pull-up 17 (1)
263 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) low-noise 3-state buffer be0t ? 3ma 7 (1) bedt ? 3ma 50k ? pull-down 7 (1) beut ? 3ma 50k ? pull-up 7 (1) bewt ? 3ma 5k ? pull-up 7 (1) be0e ? 6ma 7 (1) bede ? 6ma 50k ? pull-down 7 (1) beue ? 6ma 50k ? pull-up 7 (1) bewe ? 6ma 5k ? pull-up 7 (1) be08 ? 9ma 7 (1) bed8 ? 9ma 50k ? pull-down 7 (1) beu8 ? 9ma 50k ? pull-up 7 (1) bew8 ? 9ma 5k ? pull-up 7 (1) be07 ? 12ma 7 (1) bed7 ? 12ma 50k ? pull-down 7 (1) beu7 ? 12ma 50k ? pull-up 7 (1) bew7 ? 12ma 5k ? pull-up 7 (1) be09 ? 18ma 7 (1) bed9 ? 18ma 50k ? pull-down 7 (1) beu9 ? 18ma 50k ? pull-up 7 (1) bew9 ? 18ma 5k ? pull-up 7 (1) be0h ? 24ma 7 (1) bedh ? 24ma 50k ? pull-down 7 (1) beuh ? 24ma 50k ? pull-up 7 (1) bewh ? 24ma 5k ? pull-up 7 (1) n-ch open drain buffer ext1 ? 9ma 4 (1) ext3 ? 9ma 50k ? pull-up 4 (1) exw3 ? 9ma 5k ? pull-up 4 (1) ext9 ? 12ma 4 (1) extb ? 12ma 50k ? pull-up 4 (1) exwb ? 12ma 5k ? pull-up 4 (1) ext5 ? 18ma 4 (1) ext7 ? 18ma 50k ? pull-up 4 (1) exw7 ? 18ma 5k ? pull-up 4 (1) extd ? 24ma 4 (1) extf ? 24ma 50k ? pull-up 4 (1) exwf ? 24ma 5k ? pull-up 4 (1) n-ch open drain buffer with failsafe exo1 ? 9ma 4 (1) exo9 ? 12ma 4 (1) exo5 ? 18ma 4 (1) exod ? 24ma 4 (1)
264 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) i/o buffer b00u ? 3ma 10 (1) b0du ? 3ma 50k ? pull-down 10 (1) b0uu ? 3ma 50k ? pull-up 10 (1) b0wu ? 3ma 5k ? pull-up 10 (1) b00c ? 6ma 10 (1) b0dc ? 6ma 50k ? pull-down 10 (1) b0uc ? 6ma 50k ? pull-up 10 (1) b0wc ? 6ma 5k ? pull-up 10 (1) b003 ? 9ma 10 (1) b0d3 ? 9ma 50k ? pull-down 10 (1) b0u3 ? 9ma 50k ? pull-up 10 (1) b0w3 ? 9ma 5k ? pull-up 10 (1) b001 ? 12ma 20 (1) b0d1 ? 12ma 50k ? pull-down 20 (1) b0u1 ? 12ma 50k ? pull-up 20 (1) b0w1 ? 12ma 5k ? pull-up 20 (1) b005 ? 18ma 20 (1) b0d5 ? 18ma 50k ? pull-down 20 (1) b0u5 ? 18ma 50k ? pull-up 20 (1) b0w5 ? 18ma 5k ? pull-up 20 (1) b00f ? 24ma 20 (1) b0df ? 24ma 50k ? pull-down 20 (1) b0uf ? 24ma 50k ? pull-up 20 (1) b0wf ? 24ma 5k ? pull-up 20 (1)
265 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) low-noise i/o buffer be0u ? 3ma 10 (1) bedu ? 3ma 50k ? pull-down 10 (1) beuu ? 3ma 50k ? pull-up 10 (1) bewu ? 3ma 5k ? pull-up 10 (1) be0c ? 6ma 10 (1) bedc ? 6ma 50k ? pull-down 10 (1) beuc ? 6ma 50k ? pull-up 10 (1) bewc ? 6ma 5k ? pull-up 10 (1) be03 ? 9ma 10 (1) bed3 ? 9ma 50k ? pull-down 10 (1) beu3 ? 9ma 50k ? pull-up 10 (1) bew3 ? 9ma 5k ? pull-up 10 (1) be01 ? 12ma 10 (1) bed1 ? 12ma 50k ? pull-down 10 (1) beu1 ? 12ma 50k ? pull-up 10 (1) bew1 ? 12ma 5k ? pull-up 10 (1) be05 ? 18ma 10 (1) bed5 ? 18ma 50k ? pull-down 10 (1) beu5 ? 18ma 50k ? pull-up 10 (1) bew5 ? 18ma 5k ? pull-up 10 (1) be0f ? 24ma 10 (1) bedf ? 24ma 50k ? pull-down 10 (1) beuf ? 24ma 50k ? pull-up 10 (1) bewf ? 24ma 5k ? pull-up 10 (1)
266 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) schmitt i/o buffer bsiuw ? 3ma 13 (1) bsduw ? 3ma 50k ? pull-down 13 (1) bsuuw ? 3ma 50k ? pull-up 13 (1) bswuw ? 3ma 5k ? pull-up 13 (1) bsicw ? 6ma 13 (1) bsdcw ? 6ma 50k ? pull-down 13 (1) bsucw ? 6ma 50k ? pull-up 13 (1) bswcw ? 6ma 5k ? pull-up 13 (1) bsi3w ? 9ma 13 (1) bsd3w ? 9ma 50k ? pull-down 13 (1) bsu3w ? 9ma 50k ? pull-up 13 (1) bsw3w ? 9ma 5k ? pull-up 13 (1) bsi1w ? 12ma 23 (1) bsd1w ? 12ma 50k ? pull-down 23 (1) bsu1w ? 12ma 50k ? pull-up 23 (1) bsw1w ? 12ma 5k ? pull-up 23 (1) bsi5w ? 18ma 23 (1) bsd5w ? 18ma 50k ? pull-down 23 (1) bsu5w ? 18ma 50k ? pull-up 23 (1) bsw5w ? 18ma 5k ? pull-up 23 (1) bsifw ? 24ma 23 (1) bsdfw ? 24ma 50k ? pull-down 23 (1) bsufw ? 24ma 50k ? pull-up 23 (1) bswfw ? 24ma 5k ? pull-up 23 (1)
267 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) low-noise schmitt i/o buffer bfiuw ? 3ma 13 (1) bfduw ? 3ma 50k ? pull-down 13 (1) bfuuw ? 3ma 50k ? pull-up 13 (1) bfwuw ? 3ma 5k ? pull-up 13 (1) bficw ? 6ma 13 (1) bfdcw ? 6ma 50k ? pull-down 13 (1) bfucw ? 6ma 50k ? pull-up 13 (1) bfwcw ? 6ma 5k ? pull-up 13 (1) bfi3w ? 9ma 13 (1) bfd3w ? 9ma 50k ? pull-down 13 (1) bfu3w ? 9ma 50k ? pull-up 13 (1) bfw3w ? 9ma 5k ? pull-up 13 (1) bfi1w ? 12ma 13 (1) bfd1w ? 12ma 50k ? pull-down 13 (1) bfu1w ? 12ma 50k ? pull-up 13 (1) bfw1w ? 12ma 5k ? pull-up 13 (1) bfi5w ? 18ma 13 (1) bfd5w ? 18ma 50k ? pull-down 13 (1) bfu5w ? 18ma 50k ? pull-up 13 (1) bfw5w ? 18ma 5k ? pull-up 13 (1) bfifw ? 24ma 13 (1) bfdfw ? 24ma 50k ? pull-down 13 (1) bfufw ? 24ma 50k ? pull-up 13 (1) bfwfw ? 24ma 5k ? pull-up 13 (1) i/o buffer with en(and) bn2u ? 3ma 13 (1) bn4u ? 3ma 50k ? pull-down 13 (1) bn2c ? 6ma 13 (1) bn4c ? 6ma 50k ? pull-down 13 (1) bn23 ? 9ma 13 (1) bn43 ? 9ma 50k ? pull-down 13 (1) bn21 ? 12ma 23 (1) bn41 ? 12ma 50k ? pull-down 23 (1) bn25 ? 18ma 23 (1) bn45 ? 18ma 50k ? pull-down 23 (1) bn2f ? 24ma 23 (1) bn4f ? 24ma 50k ? pull-down 23 (1)
268 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) i/o buffer with en(or) bn3u ? 3ma 11 (1) bn5u ? 3ma 50k ? pull-down 11 (1) bn3c ? 6ma 11 (1) bn5c ? 6ma 50k ? pull-down 11 (1) bn33 ? 9ma 11 (1) bn53 ? 9ma 50k ? pull-down 11 (1) bn31 ? 12ma 21 (1) bn51 ? 12ma 50k ? pull-down 21 (1) bn35 ? 18ma 21 (1) bn55 ? 18ma 50k ? pull-down 21 (1) bn3f ? 24ma 21 (1) bn5f ? 24ma 50k ? pull-down 21 (1) e.1.2 ttl level function block 5.0 v 3.3 v description cells (i/o) input buffer fi02 ? ? (1) fid2 ? 50k ? pull-down 3 (1) fiu2 ? 50k ? pull-up 3 (1) fiw2 ? 5k ? pull-up 3 (1) fis2w ? schmitt 6 (1) fds2w ? schmitt 50k ? pull-down 6 (1) fus2w ? schmitt 50k ? pull-up 6 (1) fws2w ? schmitt 5k ? pull-up 6 (1) input buffer with failsafe fia2 ? ? (1) fda2 ? 50k ? pull-down 3 (1) fie2w ? schmitt 6 (1) fde2w ? schmitt 50k ? pull-down 6 (1) input buffer with en(and) fn12 ? ? (1) fn22 ? 50k ? pull-down 7 (1) input buffer with en(or) fn14 ? ? (1) fn24 ? 50k ? pull-down 4 (1)
269 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) i/o buffer b00v ? 3ma 10 (1) b0dv ? 3ma 50k ? pull-down 10 (1) b0uv ? 3ma 50k ? pull-up 10 (1) b0wv ? 3ma 5k ? pull-up 10 (1) b00d ? 6ma 10 (1) b0dd ? 6ma 50k ? pull-down 10 (1) b0ud ? 6ma 50k ? pull-up 10 (1) b0wd ? 6ma 5k ? pull-up 10 (1) b004 ? 9ma 10 (1) b0d4 ? 9ma 50k ? pull-down 10 (1) b0u4 ? 9ma 50k ? pull-up 10 (1) b0w4 ? 9ma 5k ? pull-up 10 (1) b002 ? 12ma 20 (1) b0d2 ? 12ma 50k ? pull-down 20 (1) b0u2 ? 12ma 50k ? pull-up 20 (1) b0w2 ? 12ma 5k ? pull-up 20 (1) b006 ? 18ma 20 (1) b0d6 ? 18ma 50k ? pull-down 20 (1) b0u6 ? 18ma 50k ? pull-up 20 (1) b0w6 ? 18ma 5k ? pull-up 20 (1) b00g ? 24ma 20 (1) b0dg ? 24ma 50k ? pull-down 20 (1) b0ug ? 24ma 50k ? pull-up 20 (1) b0wg ? 24ma 5k ? pull-up 20 (1)
270 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) low-noise i/o buffer be0v ? 3ma 10 (1) bedv ? 3ma 50k ? pull-down 10 (1) beuv ? 3ma 50k ? pull-up 10 (1) bewv ? 3ma 5k ? pull-up 10 (1) be0d ? 6ma 10 (1) bedd ? 6ma 50k ? pull-down 10 (1) beud ? 6ma 50k ? pull-up 10 (1) bewd ? 6ma 5k ? pull-up 10 (1) be04 ? 9ma 10 (1) bed4 ? 9ma 50k ? pull-down 10 (1) beu4 ? 9ma 50k ? pull-up 10 (1) bew4 ? 9ma 5k ? pull-up 10 (1) be02 ? 12ma 10 (1) bed2 ? 12ma 50k ? pull-down 10 (1) beu2 ? 12ma 50k ? pull-up 10 (1) bew2 ? 12ma 5k ? pull-up 10 (1) be06 ? 18ma 10 (1) bed6 ? 18ma 50k ? pull-down 10 (1) beu6 ? 18ma 50k ? pull-up 10 (1) bew6 ? 18ma 5k ? pull-up 10 (1) be0g ? 24ma 10 (1) bedg ? 24ma 50k ? pull-down 10 (1) beug ? 24ma 50k ? pull-up 10 (1) bewg ? 24ma 5k ? pull-up 10 (1)
271 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) schmitt i/o buffer bsivw ? 3ma 13 (1) bsdvw ? 3ma 50k ? pull-down 13 (1) bsuvw ? 3ma 50k ? pull-up 13 (1) bswvw ? 3ma 5k ? pull-up 13 (1) bsidw ? 6ma 13 (1) bsddw ? 6ma 50k ? pull-down 13 (1) bsudw ? 6ma 50k ? pull-up 13 (1) bswdw ? 6ma 5k ? pull-up 13 (1) bsi4w ? 9ma 13 (1) bsd4w ? 9ma 50k ? pull-down 13 (1) bsu4w ? 9ma 50k ? pull-up 13 (1) bsw4w ? 9ma 5k ? pull-up 13 (1) bsi2w ? 12ma 23 (1) bsd2w ? 12ma 50k ? pull-down 23 (1) bsu2w ? 12ma 50k ? pull-up 23 (1) bsw2w ? 12ma 5k ? pull-up 23 (1) bsi6w ? 18ma 23 (1) bsd6w ? 18ma 50k ? pull-down 23 (1) bsu6w ? 18ma 50k ? pull-up 23 (1) bsw6w ? 18ma 5k ? pull-up 23 (1) bsigw ? 24ma 23 (1) bsdgw ? 24ma 50k ? pull-down 23 (1) bsugw ? 24ma 50k ? pull-up 23 (1) bswgw ? 24ma 5k ? pull-up 23 (1)
272 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) low-noise schmitt i/o buffer bfivw ? 3ma 13 (1) bfdvw ? 3ma 50k ? pull-down 13 (1) bfuvw ? 3ma 50k ? pull-up 13 (1) bfwvw ? 3ma 5k ? pull-up 13 (1) bfidw ? 6ma 13 (1) bfddw ? 6ma 50k ? pull-down 13 (1) bfudw ? 6ma 50k ? pull-up 13 (1) bfwdw ? 6ma 5k ? pull-up 13 (1) bfi4w ? 9ma 13 (1) bfd4w ? 9ma 50k ? pull-down 13 (1) bfu4w ? 9ma 50k ? pull-up 13 (1) bfw4w ? 9ma 5k ? pull-up 13 (1) bfi2w ? 12ma 13 (1) bfd2w ? 12ma 50k ? pull-down 13 (1) bfu2w ? 12ma 50k ? pull-up 13 (1) bfw2w ? 12ma 5k ? pull-up 13 (1) bfi6w ? 18ma 13 (1) bfd6w ? 18ma 50k ? pull-down 13 (1) bfu6w ? 18ma 50k ? pull-up 13 (1) bfw6w ? 18ma 5k ? pull-up 13 (1) bfigw ? 24ma 13 (1) bfdgw ? 24ma 50k ? pull-down 13 (1) bfugw ? 24ma 50k ? pull-up 13 (1) bfwgw ? 24ma 5k ? pull-up 13 (1) i/o buffer with en(and) bn2v ? 3ma 14 (1) bn4v ? 3ma 50k ? pull-down 14 (1) bn2d ? 6ma 14 (1) bn4d ? 6ma 50k ? pull-down 14 (1) bn24 ? 9ma 14 (1) bn44 ? 9ma 50k ? pull-down 14 (1) bn22 ? 12ma 24 (1) bn42 ? 12ma 50k ? pull-down 24 (1) bn26 ? 18ma 24 (1) bn46 ? 18ma 50k ? pull-down 24 (1) bn2g ? 24ma 24 (1) bn4g ? 24ma 50k ? pull-down 24 (1)
273 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) i/o buffer with en(or) bn3v ? 3ma 11 (1) bn5v ? 3ma 50k ? pull-down 11 (1) bn3d ? 6ma 11 (1) bn5d ? 6ma 50k ? pull-down 11 (1) bn34 ? 9ma 11 (1) bn54 ? 9ma 50k ? pull-down 11 (1) bn32 ? 12ma 21 (1) bn52 ? 12ma 50k ? pull-down 21 (1) bn36 ? 18ma 21 (1) bn56 ? 18ma 50k ? pull-down 21 (1) bn3g ? 24ma 21 (1) bn5g ? 24ma 50k ? pull-down 21 (1) e.1.3 oscillator function block 5.0 v 3.3 v description cells (i/o) oscillator input buffer osi1 ? ? (1) oscillator input buffer for enable osi2 ? ? (1) oscillator input buffer for oso9 osi4 ? ? (1) oscillator output buffer (internal feedback resistor) oso1 ? ? (1) oscillator output buffer (for enable type) oso7 ? ? (1) oscillator output buffer (external feedback resistor) oso9 ? ? (1)
274 appendix e list of blocks design manual a13826ej7v0dm e.2 function block e.2.1 level generator function block 5.0 v 3.3 v description cells (i/o) h,l level generator f091 ? ? (? e.2.2 inverter, buffer, cts driver, delay gate function block 5.0 v 3.3 v description cells (i/o) inverter l101 ? single out, low power 1 (? f101 ? single out 1 (? f102 ? single out, x2-drive 2 (? f143 ? single out, x3-drive 3 (? f144 ? single out, x4-drive 4 (? f145 ? single out, x5-drive 5 (? f146 ? single out, x6-drive 6 (? f148 ? single out, x8-drive 12 (? buffer l111 ? single out, low power 1 (? f111 ? single out 2 (? f112 ? single out, x2-drive 3 (? f153 ? single out, x3-drive 4 (? f154 ? single out, x4-drive 5 (? f158 ? single out, x8-drive 11 (? cts driver (inverter type) fc42 ? single type 132 (? fc82 ? single type, x2-drive 396 (? fc44 ? double type 340 (? fc84 ? double type, x2-drive 1020 (? delay gate f131 ? ? (? f132 ? 10 (?
275 appendix e list of blocks design manual a13826ej7v0dm e.2.3 or(nor) function block 5.0 v 3.3 v description cells (i/o) 2-input nor l202 ? low power 1 (? f202 ? ? (? f222 ? x2-drive 4 (? f282 ? x4-drive 6 (? 3-input nor l203 low power 2 (? f203 ? ? (? f223 ? x2-drive 6 (? 4-input nor l204 low power 2 (? f204 ? ? (? 5-input nor l205 ? low power 4 (? f205 ? ? (? f225 ? x2-drive 6 (? 6-input nor f206 ? ? (? f226 ? x2-drive 6 (? 8-input nor l208 ? low power 7 (? f208 ? ? (? f228 ? x2-drive 8 (? 2-input or l212 ? low power 2 (? f212 ? ? (? f232 ? x2-drive 3 (? f252 ? x4-drive 6 (? 3-input or l213 ? low power 2 (? f213 ? ? (? f233 ? x2-drive 4 (? 4-input or l214 ? low power 3 (? f214 ? ? (? f234 ? x2-drive 4 (? 5-input or l215 ? low power 4 (? f215 ? ? (? f235 ? x2-drive 7 (? 6-input or l216 ? low power 4 (? f216 ? ? (? f236 ? x2-drive 7 (? 8-input or l218 ? low power 6 (? f218 ? ? (? f238 ? x2-drive 9 (?
276 appendix e list of blocks design manual a13826ej7v0dm e.2.4 and(nand) function block 5.0 v 3.3 v description cells (i/o) 2-input nand l302 ? low power 1 (? f302 ? ? (? f322 ? x2-drive 4 (? f382 ? x4-drive 6 (? 3-input nand l303 ? low power 2 (? f303 ? ? (? f323 ? x2-drive 6 (? 4-input nand l304 ? low power 2 (? f304 ? ? (? f324 ? x2-drive 8 (? 5-input nand f305 ? ? (? f325 ? x2-drive 6 (? 6-input nand f306 ? ? (? f326 ? x2-drive 6 (? 8-input nand f308 ? ? (? f328 ? x2-drive 7 (? 2-input and l312 ? low power 2 (? f312 ? ? (? f332 ? x2-drive 3 (? f352 ? x4-drive 6 (? 3-input and l313 ? low power 2 (? f313 ? ? (? f333 ? x2-drive 4 (? 4-input and l314 ? low power 3 (? f314 ? ? (? f334 ? x2-drive 4 (? 5-input and l315 ? low power 4 (? f315 ? ? (? f335 ? x2-drive 7 (? 6-input and l316 ? low power 4 (? f316 ? ? (? f336 ? x2-drive 7 (? 8-input and l318 ? low power 5 (? f318 ? ? (? f338 ? x2-drive 8 (?
277 appendix e list of blocks design manual a13826ej7v0dm e.2.5 and-nor function block 5.0 v 3.3 v description cells (i/o) 1-2-input and-nor l421 ? low power 2 (? f421 ? ? (? 1-1-2-input and-nor l422 low power 2 (? f422 ? ? (? 1-3-input and-nor l423 ? low power 2 (? f423 ? ? (? 2-2-input and-nor l424 ? low power 2 (? f424 ? ? (? 2-2-2-input and-nor l425 low power 3 (? f425 ? (? 2-3-input and-nor l427 low power 3 (? f427 ? ? (? 1-2-2-input and-nor l428 low power 3 (? f428 ? ? (? 2-2-2-2-input and-nor l429 ? low power 6 (? f429 ? ? (? 1-4-input and-nor l440 low power 3 (? f440 ? ? (? 1-5-input and-nor l441 ? low power 5 (? f441 ? ? (? 4-4-4-input and-nor l444 ? low power 8 (? f444 ? ? (? 1-1-1-2-input and-nor l446 ? low power 4 (? f446 ? ? (? 1-1-1-3-input and-nor l447 ? low power 5 (? f447 ? ? (? 1-1-2-2-input and-nor l448 ? low power 5 (? f448 ? ? (? 3-3-3-3-input and-nor f449 ? ? (? 3-3-3-input and-nor l460 ? low power 6 (? f460 ? ? (? 1-2-3-input and-nor f462 ? (? 1-1-3-input and-nor l463 low power 3 (? f463 ? (? 1-1-4-input and-nor l464 ? low power 5 (? f464 ? ? (? 1-1-1-1-2-input and-nor f465 ? ? (? 4-4-4-4-input and-nor f466 ? 10 (?
278 appendix e list of blocks design manual a13826ej7v0dm e.2.6 or-nand function block 5.0 v 3.3 v description cells (i/o) 1-4-input or-nand l430 ? low power 4 (? f430 ? ? (? 1-2-input or-nand l431 ? low power 2 (? f431 ? ? (? 1-1-2-input or-nand l432 ? low power 2 (? f432 ? ? (? 1-3-input or-nand l433 low power 2 (? f433 ? ? (? 2-2-input or-nand l434 low power 2 (? f434 ? ? (? 2-3-input or-nand f435 ? (? 3-3-input or-nand l436 low power 3 (? f436 ? (? 1-2-2-input or-nand f437 ? (? 2-2-2-input or-nand f438 ? (? 1-5-input or-nand l439 ? low power 5 (? f439 ? ? (? 2-4-input or-nand l450 ? low power 5 (? f450 ? ? (? 4-4-input or-nand l451 ? low power 7 (? f451 ? ? (? 1-1-3-input or-nand l452 ? low power 4 (? f452 ? ? (? 1-1-4-input or-nand l453 ? low power 5 (? f453 ? ? (? 4-4-4-input or-nand f457 ? 10 (? 1-1-1-2-input or-nand l458 low power 3 (? f458 ? ? (? 1-1-1-3-input or-nand l459 ? low power 5 (? f459 ? ? (? 1-1-1-1-2-input or-nand f490 ? ? (? 1-2-3-input or-nand l491 ? low power 5 (? f491 ? ? (? 3-3-3-input or-nand l493 ? low power 6 (? f493 ? ? (? 1-1-2-2-input or-nand f495 ? (? 3-3-3-3-input or-nand f496 ? ? (? 4-4-4-4-input or-nand f498 ? 14 (?
279 appendix e list of blocks design manual a13826ej7v0dm e.2.7 exclusive or, exclusive nor function block 5.0 v 3.3 v description cells (i/o) 2-input exclusive or l511 ? low power 3 (? f511 ? ? (? 3-input exclusive or l516 ? low power 6 (? f516 ? ? (? 2-input exclusive nor l512 ? low power 3 (? f512 ? ? (? 3-input exclusive nor l517 ? low power 7 (? f517 ? ? (?
280 appendix e list of blocks design manual a13826ej7v0dm e.2.8 adder, 3-state buffer, decoder, multiplexer, generator function block 5.0 v 3.3 v description cells (i/o) 1-bit full adder f521 ? ? (? 4-bit full adder f523 ? 32 (? 4-bit look ahead carry generator f526 ? 34 (? 4-bit carry look ahead adder f527 ? 69 (? 3-state buffer l531 ? with en, low power 4 (? f531 ? with en 5 (? f533 ? with en, x2-drive 7 (? f53f ? with en, x4-drive 11 (? l532 ? with enb, low power 4 (? f532 ? with enb 5 (? f534 ? with enb, x2-drive 7 (? f53g ? with enb, x4-drive 11 (? f541 ? inverter with en 6 (? f543 ? inverter with en, x2-drive 8 (? f54f ? inverter with en, x4-drive 12 (? f542 ? inverter with enb 6 (? f544 ? inverter with enb, x2-drive 8 (? f54g ? inverter with enb, x4-drive 12 (? 2 to 4 decoder l560 ? p ositive out, low power 6 (? f560 ? p ositive out 10 (? l561 ? negative out, low power 6 (? f561 ? negative out 10 (? 2 to 1 multiplexer (positive out) l565 ? low power 3 (? f565 ? ? (? l571 ? with enb, low power 4 (? f571 ? with enb 6 (? 4 to 1 multiplexer (positive out) f564 ? ? (? f570 ? with enb 10 (? 8 to 1 multiplexer (positive out) f563 ? 18 (? f569 ? with enb 18 (? quad 2 to 1 multiplexer (negative out) l572 ? with enb, low power 15 (? f572 ? with enb 17 (? 8-bit odd parity generator f581 ? 19 (? 8-bit even parity generator f582 ? 19 (?
281 appendix e list of blocks design manual a13826ej7v0dm e.2.9 rs-latch, rs-f/f function block 5.0 v 3.3 v description cells (i/o) rs-latch f595 ? ? (? rs-f/f with r,s f596 ? 11 (? e.2.10 d-latch function block 5.0 v 3.3 v description cells (i/o) d-latch f601 ? ? (? l601 ? q out, low power 4 (? f601nq ? q out 5 (? f601nb ? qb out 5 (? d-latch, high speed f6r1 ? ? (? d-latch with r f602 ? ? (? l602 ? q out, low power 5 (? f602nq ? q out 6 (? f602nb ? qb out 5 (? d-latch with r, high speed f6r2 ? ? (? d-latch with rb f603 ? ? (? l603 ? q out, low power 5 (? f603nq ? q out 5 (? f603nb ? qb out 6 (? d-latch with rb, high speed f6r5 ? ? (? d-latch with sb f60k ? ? (? f60knq ? q out 6 (? f60knb ? qb out 5 (? d-latch with rb,sb f60j ? ? (? f60jnq ? q out 6 (? f60jnb ? qb out 6 (? d-latch (gb) f604 ? ? (? l604 ? q out, low power 4 (? f604nq ? q out 5 (? f604nb ? qb out 5 (? d-latch (gb), high speed f6r8 ? ? (? d-latch (gb) with rb f605 ? ? (? l605 ? q out, low power 5 (? f605nq ? q out 5 (? f605nb ? qb out 6 (? d-latch (gb) with rb, high speed f6r9 ? ? (?
282 appendix e list of blocks design manual a13826ej7v0dm e.2.11 d-f/f function block 5.0 v 3.3 v description cells (i/o) d-f/f f641 ? ? (? l641 ? q out, low power 6 (? f641nq ? q out 7 (? f641nb ? qb out 7 (? d-f/f with r f642 ? ? (? f642nq ? q out 8 (? f642nb ? qb out 8 (? d-f/f with s f643 ? ? (? f643nq ? q out 8 (? f643nb ? qb out 8 (? d-f/f with r,s f644 ? 10 (? l644 ? q out, low power 8 (? f644nq ? q out 9 (? f644nb ? qb out 9 (? d-f/f with rb f615 ? ? (? l645 ? q out, low power 7 (? f615nq ? q out 8 (? f615nb ? qb out 8 (? d-f/f with sb f616 ? ? (? f616nq ? q out 8 (? f616nb ? qb out 8 (? d-f/f with rb,sb f647 ? 10 (? l647 ? q out, low power 8 (? f647nq ? q out 9 (? f647nb ? qb out 9 (? d-f/f (cb) f661 ? ? (? l661 ? q out, low power 6 (? f661nq ? q out 7 (? f661nb ? qb out 7 (? d-f/f (cb) with rb f665 ? ? (? f665nq ? q out 8 (? f665nb ? qb out 8 (? d-f/f (cb) with sb f666 ? ? (? f666nq ? q out 8 (? f666nb ? qb out 8 (? d-f/f (cb) with rb,sb f667 ? 10 (? l667 ? q out, low power 8 (? f667nq ? q out 9 (? f667nb ? qb out 9 (? d-f/f with 2 to 1 selector f641s ? 10 (? f641sq ? q out 9 (? f641sb ? qb out 9 (?
283 appendix e list of blocks design manual a13826ej7v0dm function block 5.0 v 3.3 v description cells (i/o) d-f/f with r,2 to 1 selector f642s ? 11 (? f642sq ? q out 10 (? f642sb ? qb out 10 (? d-f/f with s,2 to 1 selector f643s ? 11 (? f643sq ? q out 10 (? f643sb ? qb out 10 (? d-f/f with r,s,2 to 1 selector f644s ? 12 (? f644sq ? q out 11 (? f644sb ? qb out 11 (? d-f/f with rb,2 to 1 selector f615s ? 11 (? f615sq ? q out 10 (? f615sb ? qb out 10 (? d-f/f with sb,2 to 1 selector f616s ? 11 (? f616sq ? q out 10 (? f616sb ? qb out 10 (? d-f/f with rb,sb,2 to 1 selector f647s ? 12 (? f647sq ? q out 11 (? f647sb ? qb out 11 (? d-f/f (cb) with 2 to 1 selector f661s ? 10 (? f661sq ? q out 9 (? f661sb ? qb out 9 (? d-f/f (cb) with rb,2 to 1 selector f665s ? 11 (? f665sq ? q out 10 (? f665sb ? qb out 10 (? d-f/f (cb) with sb,2 to 1 selector f666s ? 11 (? f666sq ? q out 10 (? f666sb ? qb out 10 (? d-f/f (cb) with rb,sb,2 to 1 selector f667s ? 12 (? f667sq ? q out 11 (? f667sb ? qb out 11 (? d-f/f with hold f641h ? 10 (? f641hq ? q out 9 (? f641hb ? qb out 9 (? d-f/f with rb,hold f615h ? 11 (? f615hq ? q out 10 (? f615hb ? qb out 10 (? d-f/f with sb,hold f616h ? 11 (? f616hq ? q out 10 (? f616hb ? qb out 10 (? d-f/f with rb,sb,hold f647h ? 12 (? f647hq ? q out 11 (? f647hb ? qb out 11 (? d-f/f (cb) with 2 to 1 selector(2 ctrl),rb f673 ? 11 (? d-f/f (cb) with hold,2 to 1 selector(2 ctrl),rb f674 ? 12 (?
284 appendix e list of blocks design manual a13826ej7v0dm e.2.12 t-f/f, jk-f/f function block 5.0 v 3.3 v description cells (i/o) t -f/f with r,s f744 ? ? (? l744 ? q out, low power 7 (? f744nq ? q out 8 (? t -f/f with rb f745 ? ? (? f745nq ? q out 7 (? t -f/f with rb,sb f747 ? ? (? l747 ? q out, low power 7 (? f747nq ? q out 8 (? t -f/f with data-hold r,s f791 ? 12 (? t -f/f (tb) with rb f765 ? ? (? f765nq ? q out 7 (? t -f/f (tb) with rb,sb f767 ? ? (? l767 ? q out, low power 7 (? f767nq ? q out 8 (? t -f/f (tb) with data-hold rb,sb f792 ? 12 (? jk-f/f f771 ? 10 (? f771nq ? q out 9 (? f771nb ? qb out 9 (? jk-f/f, high speed f7d1 ? 10 (? jk-f/f with r,s f774 ? 12 (? f774nq ? q out 11 (? f774nb ? qb out 11 (? jk-f/f with rb f775 ? 11 (? f775nq ? q out 10 (? f775nb ? qb out 10 (? jk-f/f with sb f776 ? 11 (? f776nq ? q out 10 (? f776nb ? qb out 10 (? jk-f/f with rb,sb f777 ? 12 (? f777nq ? q out 11 (? f777nb ? qb out 11 (? jk-f/f (cb) f781 ? 10 (? f781nq ? q out 9 (? f781nb ? qb out 9 (? jk-f/f (cb), high speed f7e1 ? 10 (? jk-f/f (cb) with rb,sb f787 ? 12 (? f787nq ? q out 11 (? f787nb ? qb out 11 (?
285 appendix e list of blocks design manual a13826ej7v0dm e.3 scan path block e.3.1 standard type function block 5.0 v 3.3 v description cells (i/o) scan d-f/f with r,s,2 to 1 selector s000 ? 12 (? scan d-f/f with 2 to 1 selector s002 ? 10 (? scan d-f/f with 2 to 1 selector, high speed s003 ? 11 (? scan d-f/f with r,s,hold,2 to 1 selector s050 ? 16 (? scan d-f/f with hold,2 to 1 selector s052 ? 14 (? scan jk-f/f with r,s,d-f/f function s100 ? 14 (? scan jk-f/f with d-f/f function s102 ? 12 (? scan jk-f/f with r,s,hold,d-f/f function s150 ? 18 (? scan jk-f/f with hold,d-f/f function s152 ? 16 (? scan d-latch with r,d-f/f function s201 ? 13 (? scan d-latch with d-f/f function s202 ? 12 (? scan d-latch with d-f/f function, high speed s204 ? 12 (? scan d-latch with r,special function,r s301 ? ? (? scan d-latch with special function s302 ? ? (? scan d-latch with special function, high speed s303 ? ? (? e.3.2 nec scan function block 5.0 v 3.3 v description cells (i/o) nec scan d-latch se601 ? 13 (? nec scan d-latch with r se602 ? 14 (? nec scan d-latch with rb se603 ? 14 (? nec scan d-latch(gb) se604 ? 13 (? nec scan d-latch(gb) with rb se605 ? 14 (? nec scan d-f/f se611 ? 11 (? nec scan d-f/f with r,s se614 ? 13 (? nec scan d-f/f with rb se615 ? 12 (? nec scan d-f/f with sb se616 ? 12 (? nec scan d-f/f with rb,sb se617 ? 13 (? nec scan d-f/f (cb) se631 ? 11 (? nec scan d-f/f (cb) with rb,sb se637 ? 13 (?
286 appendix e list of blocks design manual a13826ej7v0dm e.3.3 scan controller function block 5.0 v 3.3 v description cells (i/o) clock distributor scd1 ? ? (? clock distributor with test (positive clock) scdc ? ? (? clock distributor with test (negative clock) scdd ? ? (? i/f control (amc) with en sfeh ? ? (? i/f control (amc) with enb sfel ? ? (? i/f control (smc) with en soeh ? ? (? i/f control (smc) with enb soel ? ? (? megamacro skip sms1 ? ? (? set/reset control srh1 ? ? (? set-b/reset-b control srl1 ? ? (? loop cut srpd ? 12 (? clock generator sckg ? 16 (? common input sci1 ? ? (? common output sco1 ? ? (? gnd sgnd ? ? (?
287 appendix e list of blocks design manual a13826ej7v0dm e.4 boundary scan block e.4.1 tap macro function block 5.0 v 3.3 v description cells (i/o) bscan tap macro sbcj ? 262 (? bscan tap macro with nec scan sbcl ? 315 (? e.4.2 level generator function block 5.0 v 3.3 v description cells (i/o) bscan level generator (clanp) sbz1 ? ? (? e.4.3 data register function block 5.0 v 3.3 v description cells (i/o) bscan data register for input svrni2 ? 12 (? bscan data register for output svrn22 ? 24 (? bscan data register for 3-state svrn32 ? 50 (? bscan data register for bid svrnb2 ? 57 (? e.4.4 d-latch, selector, shift register function block 5.0 v 3.3 v description cells (i/o) bscan d-latch with sb q out, low power l606 ? ? (? bscan selector sbd1 ? ? (? bscan shift register sbr1 ? ? (? bscan data selector for output svsna2 ? ? (? bscan data selector for bid svsnb2 ? ? (? bscan data enable selector for 3-state svsnc2 ? ? (? bscan data enable selector for bid svsne2 ? ? (? e.4.5 soft macro function block 5.0 v 3.3 v description cells (i/o) bscan tap controller sbck ? 392 (? bscan instruction register (internal circuit) sbm4 ? 46 (? bscan instruction register sbm5 ? 140 (? bscan instruction decoder sbm6 ? 24 (? bscan instruction decoder with nec scan sbmc ? 37 (? bscan bypass register sbs3 ? 26 (?


▲Up To Search▲   

 
Price & Availability of UPD65894GJ-XXX-UEN

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X